=>> Building cad/py-vunit-hdl build started at Sun May 4 07:43:20 EDT 2025 port directory: /usr/ports/cad/py-vunit-hdl package name: py311-vunit-hdl-4.7.0 building for: FreeBSD 13amd64-weekly-desktop-job-02 13.4-RELEASE-p4 FreeBSD 13.4-RELEASE-p4 amd64 maintained by: yuri@FreeBSD.org Makefile datestamp: -rw-r--r-- 1 root wheel 1012 Aug 3 2024 /usr/ports/cad/py-vunit-hdl/Makefile Ports top last git commit: 57a50b106b87 Ports top unclean checkout: yes Port dir last git commit: 5d4139f8bdb6 Port dir unclean checkout: no Poudriere version: poudriere-git-3.4.2 Host OSVERSION: 1402000 Jail OSVERSION: 1304000 Job Id: 02 ---Begin Environment--- SHELL=/bin/csh OSVERSION=1304000 UNAME_v=FreeBSD 13.4-RELEASE-p4 UNAME_r=13.4-RELEASE-p4 BLOCKSIZE=K MAIL=/var/mail/root MM_CHARSET=UTF-8 LANG=C.UTF-8 STATUS=1 HOME=/root PATH=/sbin:/bin:/usr/sbin:/usr/bin:/usr/local/sbin:/usr/local/bin:/root/bin MAKE_OBJDIR_CHECK_WRITABLE=0 LOCALBASE=/usr/local USER=root POUDRIERE_NAME=poudriere-git LIBEXECPREFIX=/usr/local/libexec/poudriere POUDRIERE_VERSION=3.4.2 MASTERMNT=/mnt/data/.m/13amd64-weekly-desktop/ref LC_COLLATE=C POUDRIERE_BUILD_TYPE=bulk PACKAGE_BUILDING=yes SAVED_TERM=screen GID=0 OUTPUT_REDIRECTED_STDERR=4 OUTPUT_REDIRECTED=1 UID=0 PWD=/mnt/data/.m/13amd64-weekly-desktop/02/.p OUTPUT_REDIRECTED_STDOUT=3 P_PORTS_FEATURES=FLAVORS SUBPACKAGES SELECTED_OPTIONS MASTERNAME=13amd64-weekly-desktop SCRIPTPREFIX=/usr/local/share/poudriere SCRIPTNAME=bulk.sh OLDPWD=/mnt/data/.m/13amd64-weekly-desktop/ref/.p/pool POUDRIERE_PKGNAME=poudriere-git-3.4.2 SCRIPTPATH=/usr/local/share/poudriere/bulk.sh POUDRIEREPATH=/usr/local/bin/poudriere ---End Environment--- ---Begin Poudriere Port Flags/Env--- PORT_FLAGS= PKGENV= FLAVOR=py311 MAKE_ARGS= FLAVOR=py311 ---End Poudriere Port Flags/Env--- ---Begin OPTIONS List--- ---End OPTIONS List--- --MAINTAINER-- yuri@FreeBSD.org --End MAINTAINER-- --CONFIGURE_ARGS-- --End CONFIGURE_ARGS-- --CONFIGURE_ENV-- PYTHON="/usr/local/bin/python3.11" XDG_DATA_HOME=/wrkdirs/usr/ports/cad/py-vunit-hdl/work-py311 XDG_CONFIG_HOME=/wrkdirs/usr/ports/cad/py-vunit-hdl/work-py311 XDG_CACHE_HOME=/wrkdirs/usr/ports/cad/py-vunit-hdl/work-py311/.cache HOME=/wrkdirs/usr/ports/cad/py-vunit-hdl/work-py311 TMPDIR="/tmp" PATH=/wrkdirs/usr/ports/cad/py-vunit-hdl/work-py311/.bin:/sbin:/bin:/usr/sbin:/usr/bin:/usr/local/sbin:/usr/local/bin:/root/bin PKG_CONFIG_LIBDIR=/wrkdirs/usr/ports/cad/py-vunit-hdl/work-py311/.pkgconfig:/usr/local/libdata/pkgconfig:/usr/local/share/pkgconfig:/usr/libdata/pkgconfig SHELL=/bin/sh CONFIG_SHELL=/bin/sh --End CONFIGURE_ENV-- --MAKE_ENV-- XDG_DATA_HOME=/wrkdirs/usr/ports/cad/py-vunit-hdl/work-py311 XDG_CONFIG_HOME=/wrkdirs/usr/ports/cad/py-vunit-hdl/work-py311 XDG_CACHE_HOME=/wrkdirs/usr/ports/cad/py-vunit-hdl/work-py311/.cache HOME=/wrkdirs/usr/ports/cad/py-vunit-hdl/work-py311 TMPDIR="/tmp" PATH=/wrkdirs/usr/ports/cad/py-vunit-hdl/work-py311/.bin:/sbin:/bin:/usr/sbin:/usr/bin:/usr/local/sbin:/usr/local/bin:/root/bin PKG_CONFIG_LIBDIR=/wrkdirs/usr/ports/cad/py-vunit-hdl/work-py311/.pkgconfig:/usr/local/libdata/pkgconfig:/usr/local/share/pkgconfig:/usr/libdata/pkgconfig MK_DEBUG_FILES=no MK_KERNEL_SYMBOLS=no SHELL=/bin/sh NO_LINT=YES PREFIX=/usr/local LOCALBASE=/usr/local CC="cc" CFLAGS="-O2 -pipe -fstack-protector-strong -fno-strict-aliasing " CPP="cpp" CPPFLAGS="" LDFLAGS=" -fstack-protector-strong " LIBS="" CXX="c++" CXXFLAGS="-O2 -pipe -fstack-protector-strong -fno-strict-aliasing " BSD_INSTALL_PROGRAM="install -s -m 555" BSD_INSTALL_LIB="install -s -m 0644" BSD_INSTALL_SCRIPT="install -m 555" BSD_INSTALL_DATA="install -m 0644" BSD_INSTALL_MAN="install -m 444" --End MAKE_ENV-- --PLIST_SUB-- PYTHON_INCLUDEDIR=include/python3.11 PYTHON_LIBDIR=lib/python3.11 PYTHON_PLATFORM=freebsd13 PYTHON_SITELIBDIR=lib/python3.11/site-packages PYTHON_SUFFIX=311 PYTHON_EXT_SUFFIX=.cpython-311 PYTHON_VER=3.11 PYTHON_VERSION=python3.11 PYTHON2="@comment " PYTHON3="" OSREL=13.4 PREFIX=%D LOCALBASE=/usr/local RESETPREFIX=/usr/local LIB32DIR=lib DOCSDIR="share/doc/vunit-hdl" EXAMPLESDIR="share/examples/vunit-hdl" DATADIR="share/vunit-hdl" WWWDIR="www/vunit-hdl" ETCDIR="etc/vunit-hdl" --End PLIST_SUB-- --SUB_LIST-- PYTHON_INCLUDEDIR=/usr/local/include/python3.11 PYTHON_LIBDIR=/usr/local/lib/python3.11 PYTHON_PLATFORM=freebsd13 PYTHON_SITELIBDIR=/usr/local/lib/python3.11/site-packages PYTHON_SUFFIX=311 PYTHON_EXT_SUFFIX=.cpython-311 PYTHON_VER=3.11 PYTHON_VERSION=python3.11 PYTHON2="@comment " PYTHON3="" PREFIX=/usr/local LOCALBASE=/usr/local DATADIR=/usr/local/share/vunit-hdl DOCSDIR=/usr/local/share/doc/vunit-hdl EXAMPLESDIR=/usr/local/share/examples/vunit-hdl WWWDIR=/usr/local/www/vunit-hdl ETCDIR=/usr/local/etc/vunit-hdl --End SUB_LIST-- ---Begin make.conf--- USE_PACKAGE_DEPENDS=yes BATCH=yes WRKDIRPREFIX=/wrkdirs PORTSDIR=/usr/ports PACKAGES=/packages DISTDIR=/distfiles FORCE_PACKAGE=yes PACKAGE_BUILDING=yes PACKAGE_BUILDING_FLAVORS=yes #### #### # Work around some weirdness when fetching golang modules GO_TAGS+=netcgo .if ${.CURDIR:M*/www/chromium*} MAKE_JOBS_NUMBER=24 .endif .if ${.CURDIR:M*/www/ungoogled-chromium*} MAKE_JOBS_NUMBER=24 .endif .if ${.CURDIR:M*/lang/rust*} MAKE_JOBS_NUMBER=10 .endif .if ${.CURDIR:M*/lang/gcc*} MAKE_JOBS_NUMBER=10 .endif .if ${.CURDIR:M*/www/iridium*} MAKE_JOBS_NUMBER=16 .endif .if ${.CURDIR:M*/devel/electron*} MAKE_JOBS_NUMBER=20 .endif .if ${.CURDIR:M*/databases/mongodb*} MAKE_JOBS_NUMBER=12 .endif .if ${.CURDIR:M*/devel/llvm*} MAKE_JOBS_NUMBER=16 .endif # Speed things up a bit MAKE_JOBS_NUMBER?=8 #### #### #MAKE_JOBS_NUMBER?=4 # Alows us to build ports non-interactivly DISABLE_LICENSES=yes LICENSES_ACCEPTED+= DCC # Enable SNDIO on anything that supports it #OPTIONS_SET+=PULSEAUDIO OPTIONS_SET+=SNDIO # Yes we have MS Windows x11-fonts_webfonts_SET+=EXTRAFONTS CLEARTYPE # Desktop Specific Options sysutils_gksu_UNSET+=NAUTILUS x11-wm_compiz-fusion_UNSET+=EMERALD accessibility_redshift_SET+=GUI VIDMODE # These ports default to other audio servers, audio_espeak_UNSET+=PORTAUDIO www_qt5-webengine_UNSET+=ALSA www_qt6-webengine_UNSET+=ALSA audio_rhvoice_UNSET+=AO comms_morse_UNSET+=OSS audio_harp_UNSET+=OSS # Somehow these options are being set and result in ports being rebuilt needlessly becuase they are actually turned off audio_alsa-plugins_SET+=FFMPEG JACK PULSEAUDIO SAMPLERATE SPEEX #### Misc Poudriere #### .include "/etc/make.conf.ports_env" ---End make.conf--- --Resource limits-- cpu time (seconds, -t) unlimited file size (512-blocks, -f) unlimited data seg size (kbytes, -d) 33554432 stack size (kbytes, -s) 524288 core file size (512-blocks, -c) unlimited max memory size (kbytes, -m) unlimited locked memory (kbytes, -l) unlimited max user processes (-u) 89999 open files (-n) 8192 virtual mem size (kbytes, -v) unlimited swap limit (kbytes, -w) unlimited socket buffer size (bytes, -b) unlimited pseudo-terminals (-p) unlimited kqueues (-k) unlimited umtx shared locks (-o) unlimited --End resource limits-- =================================================== ===== env: NO_DEPENDS=yes USER=nobody UID=65534 GID=65534 =========================================================================== =================================================== ===== env: USE_PACKAGE_DEPENDS_ONLY=1 USER=root UID=0 GID=0 ===> py311-vunit-hdl-4.7.0 depends on file: /usr/local/sbin/pkg - not found ===> Installing existing package /packages/All/pkg-2.0.6.pkg [13amd64-weekly-desktop-job-02] Installing pkg-2.0.6... [13amd64-weekly-desktop-job-02] Extracting pkg-2.0.6: .......... done ===> py311-vunit-hdl-4.7.0 depends on file: /usr/local/sbin/pkg - found ===> Returning to build of py311-vunit-hdl-4.7.0 =========================================================================== =================================================== ===== env: USE_PACKAGE_DEPENDS_ONLY=1 USER=root UID=0 GID=0 =========================================================================== =================================================== ===== env: NO_DEPENDS=yes USER=root UID=0 GID=0 ===> Fetching all distfiles required by py311-vunit-hdl-4.7.0 for building =========================================================================== =================================================== ===== env: NO_DEPENDS=yes USER=root UID=0 GID=0 ===> Fetching all distfiles required by py311-vunit-hdl-4.7.0 for building => SHA256 Checksum OK for VUnit-vunit-v4.7.0_GH0.tar.gz. => SHA256 Checksum OK for Paebbels-JSON-for-VHDL-95e848b_GH0.tar.gz. => SHA256 Checksum OK for OSVVM-OSVVM-2104430_GH0.tar.gz. =========================================================================== =================================================== ===== env: USE_PACKAGE_DEPENDS_ONLY=1 USER=root UID=0 GID=0 =========================================================================== =================================================== ===== env: NO_DEPENDS=yes USER=nobody UID=65534 GID=65534 ===> Fetching all distfiles required by py311-vunit-hdl-4.7.0 for building ===> Extracting for py311-vunit-hdl-4.7.0 => SHA256 Checksum OK for VUnit-vunit-v4.7.0_GH0.tar.gz. => SHA256 Checksum OK for Paebbels-JSON-for-VHDL-95e848b_GH0.tar.gz. => SHA256 Checksum OK for OSVVM-OSVVM-2104430_GH0.tar.gz. =========================================================================== =================================================== ===== env: USE_PACKAGE_DEPENDS_ONLY=1 USER=root UID=0 GID=0 =========================================================================== =================================================== ===== env: NO_DEPENDS=yes USER=nobody UID=65534 GID=65534 ===> Patching for py311-vunit-hdl-4.7.0 ===> Applying FreeBSD patches for py311-vunit-hdl-4.7.0 from /usr/ports/cad/py-vunit-hdl/files =========================================================================== =================================================== ===== env: USE_PACKAGE_DEPENDS_ONLY=1 USER=root UID=0 GID=0 ===> py311-vunit-hdl-4.7.0 depends on package: py311-setuptools>0 - not found ===> Installing existing package /packages/All/py311-setuptools-63.1.0_2.pkg [13amd64-weekly-desktop-job-02] Installing py311-setuptools-63.1.0_2... [13amd64-weekly-desktop-job-02] `-- Installing python311-3.11.11... [13amd64-weekly-desktop-job-02] | `-- Installing gettext-runtime-0.23.1... [13amd64-weekly-desktop-job-02] | | `-- Installing indexinfo-0.3.1_1... [13amd64-weekly-desktop-job-02] | | `-- Extracting indexinfo-0.3.1_1: . done [13amd64-weekly-desktop-job-02] | `-- Extracting gettext-runtime-0.23.1: .......... done [13amd64-weekly-desktop-job-02] | `-- Installing libffi-3.4.6... [13amd64-weekly-desktop-job-02] | `-- Extracting libffi-3.4.6: .......... done [13amd64-weekly-desktop-job-02] | `-- Installing mpdecimal-4.0.0... [13amd64-weekly-desktop-job-02] | `-- Extracting mpdecimal-4.0.0: .......... done [13amd64-weekly-desktop-job-02] | `-- Installing readline-8.2.13_2... [13amd64-weekly-desktop-job-02] | `-- Extracting readline-8.2.13_2: .......... done [13amd64-weekly-desktop-job-02] `-- Extracting python311-3.11.11: .......... done [13amd64-weekly-desktop-job-02] Extracting py311-setuptools-63.1.0_2: .......... done ===== Message from python311-3.11.11: -- Note that some standard Python modules are provided as separate ports as they require additional dependencies. They are available as: py311-gdbm databases/py-gdbm@py311 py311-sqlite3 databases/py-sqlite3@py311 py311-tkinter x11-toolkits/py-tkinter@py311 ===> py311-vunit-hdl-4.7.0 depends on package: py311-setuptools>0 - found ===> Returning to build of py311-vunit-hdl-4.7.0 ===> py311-vunit-hdl-4.7.0 depends on package: py311-setuptools-scm>0 - not found ===> Installing existing package /packages/All/py311-setuptools-scm-8.0.4_1.pkg [13amd64-weekly-desktop-job-02] Installing py311-setuptools-scm-8.0.4_1... [13amd64-weekly-desktop-job-02] `-- Installing py311-packaging-24.2... [13amd64-weekly-desktop-job-02] `-- Extracting py311-packaging-24.2: .......... done [13amd64-weekly-desktop-job-02] `-- Installing py311-typing-extensions-4.12.2... [13amd64-weekly-desktop-job-02] `-- Extracting py311-typing-extensions-4.12.2: ....... done [13amd64-weekly-desktop-job-02] Extracting py311-setuptools-scm-8.0.4_1: .......... done ===> py311-vunit-hdl-4.7.0 depends on package: py311-setuptools-scm>0 - found ===> Returning to build of py311-vunit-hdl-4.7.0 ===> py311-vunit-hdl-4.7.0 depends on package: py311-wheel>0 - not found ===> Installing existing package /packages/All/py311-wheel-0.45.1.pkg [13amd64-weekly-desktop-job-02] Installing py311-wheel-0.45.1... [13amd64-weekly-desktop-job-02] Extracting py311-wheel-0.45.1: .......... done ===> py311-vunit-hdl-4.7.0 depends on package: py311-wheel>0 - found ===> Returning to build of py311-vunit-hdl-4.7.0 ===> py311-vunit-hdl-4.7.0 depends on file: /usr/local/bin/python3.11 - found ===> py311-vunit-hdl-4.7.0 depends on package: py311-build>=0 - not found ===> Installing existing package /packages/All/py311-build-1.2.2_2.pkg [13amd64-weekly-desktop-job-02] Installing py311-build-1.2.2_2... [13amd64-weekly-desktop-job-02] `-- Installing py311-pyproject-hooks-1.2.0... [13amd64-weekly-desktop-job-02] `-- Extracting py311-pyproject-hooks-1.2.0: .......... done [13amd64-weekly-desktop-job-02] Extracting py311-build-1.2.2_2: .......... done ===> py311-vunit-hdl-4.7.0 depends on package: py311-build>=0 - found ===> Returning to build of py311-vunit-hdl-4.7.0 ===> py311-vunit-hdl-4.7.0 depends on package: py311-installer>=0 - not found ===> Installing existing package /packages/All/py311-installer-0.7.0.pkg [13amd64-weekly-desktop-job-02] Installing py311-installer-0.7.0... [13amd64-weekly-desktop-job-02] Extracting py311-installer-0.7.0: .......... done ===> py311-vunit-hdl-4.7.0 depends on package: py311-installer>=0 - found ===> Returning to build of py311-vunit-hdl-4.7.0 =========================================================================== =================================================== ===== env: USE_PACKAGE_DEPENDS_ONLY=1 USER=root UID=0 GID=0 =========================================================================== =================================================== ===== env: NO_DEPENDS=yes USER=nobody UID=65534 GID=65534 ===> Configuring for py311-vunit-hdl-4.7.0 =========================================================================== =================================================== ===== env: NO_DEPENDS=yes USER=nobody UID=65534 GID=65534 ===> Building for py311-vunit-hdl-4.7.0 * Getting build dependencies for wheel... running egg_info creating vunit_hdl.egg-info writing vunit_hdl.egg-info/PKG-INFO writing dependency_links to vunit_hdl.egg-info/dependency_links.txt writing requirements to vunit_hdl.egg-info/requires.txt writing top-level names to vunit_hdl.egg-info/top_level.txt writing manifest file 'vunit_hdl.egg-info/SOURCES.txt' reading manifest file 'vunit_hdl.egg-info/SOURCES.txt' adding license file 'LICENSE.rst' writing manifest file 'vunit_hdl.egg-info/SOURCES.txt' * Building wheel... running bdist_wheel running build running build_py creating build creating build/lib creating build/lib/tests copying tests/common.py -> build/lib/tests copying tests/__init__.py -> build/lib/tests creating build/lib/tests/lint copying tests/lint/test_license.py -> build/lib/tests/lint copying tests/lint/__init__.py -> build/lib/tests/lint copying tests/lint/test_pycodestyle.py -> build/lib/tests/lint copying tests/lint/test_pylint.py -> build/lib/tests/lint copying tests/lint/test_mypy.py -> build/lib/tests/lint creating build/lib/tests/unit copying tests/unit/test_ui.py -> build/lib/tests/unit copying tests/unit/test_modelsim_interface.py -> build/lib/tests/unit copying tests/unit/test_database.py -> build/lib/tests/unit copying tests/unit/test_test_bench_list.py -> build/lib/tests/unit copying tests/unit/test_dependency_graph.py -> build/lib/tests/unit copying tests/unit/test_test_bench.py -> build/lib/tests/unit copying tests/unit/test_configuration.py -> build/lib/tests/unit copying tests/unit/__init__.py -> build/lib/tests/unit copying tests/unit/test_verilog_preprocessor.py -> build/lib/tests/unit copying tests/unit/test_test_suites.py -> build/lib/tests/unit copying tests/unit/test_incisive_interface.py -> build/lib/tests/unit copying tests/unit/test_check_preprocessor.py -> build/lib/tests/unit copying tests/unit/test_verilog_tokenizer.py -> build/lib/tests/unit copying tests/unit/test_cds_file.py -> build/lib/tests/unit copying tests/unit/test_activehdl_interface.py -> build/lib/tests/unit copying tests/unit/test_verilog_parser.py -> build/lib/tests/unit copying tests/unit/non_utf8_printer.py -> build/lib/tests/unit copying tests/unit/test_csv_logs.py -> build/lib/tests/unit copying tests/unit/test_test_runner.py -> build/lib/tests/unit copying tests/unit/test_rivierapro_interface.py -> build/lib/tests/unit copying tests/unit/test_vhdl_standard.py -> build/lib/tests/unit copying tests/unit/test_builtins.py -> build/lib/tests/unit copying tests/unit/test_test_report.py -> build/lib/tests/unit copying tests/unit/test_simulator_interface.py -> build/lib/tests/unit copying tests/unit/test_ghdl_interface.py -> build/lib/tests/unit copying tests/unit/test_vhdl_parser.py -> build/lib/tests/unit copying tests/unit/test_tokenizer.py -> build/lib/tests/unit copying tests/unit/test_project.py -> build/lib/tests/unit copying tests/unit/test_ostools.py -> build/lib/tests/unit copying tests/unit/test_location_preprocessor.py -> build/lib/tests/unit creating build/lib/tests/acceptance copying tests/acceptance/test_artificial.py -> build/lib/tests/acceptance copying tests/acceptance/__init__.py -> build/lib/tests/acceptance copying tests/acceptance/test_external_run_scripts.py -> build/lib/tests/acceptance copying tests/acceptance/test_dependencies.py -> build/lib/tests/acceptance creating build/lib/vunit copying vunit/hashing.py -> build/lib/vunit copying vunit/json4vhdl.py -> build/lib/vunit copying vunit/location_preprocessor.py -> build/lib/vunit copying vunit/design_unit.py -> build/lib/vunit copying vunit/about.py -> build/lib/vunit copying vunit/project.py -> build/lib/vunit copying vunit/__init__.py -> build/lib/vunit copying vunit/verilog.py -> build/lib/vunit copying vunit/csv_logs.py -> build/lib/vunit copying vunit/library.py -> build/lib/vunit copying vunit/vhdl_parser.py -> build/lib/vunit copying vunit/dependency_graph.py -> build/lib/vunit copying vunit/check_preprocessor.py -> build/lib/vunit copying vunit/configuration.py -> build/lib/vunit copying vunit/database.py -> build/lib/vunit copying vunit/version_check.py -> build/lib/vunit copying vunit/ostools.py -> build/lib/vunit copying vunit/vunit_cli.py -> build/lib/vunit copying vunit/cached.py -> build/lib/vunit copying vunit/source_file.py -> build/lib/vunit copying vunit/exceptions.py -> build/lib/vunit copying vunit/color_printer.py -> build/lib/vunit copying vunit/persistent_tcl_shell.py -> build/lib/vunit copying vunit/vhdl_standard.py -> build/lib/vunit copying vunit/builtins.py -> build/lib/vunit creating build/lib/vunit/com copying vunit/com/codec_vhdl_package.py -> build/lib/vunit/com copying vunit/com/codec_vhdl_record_type.py -> build/lib/vunit/com copying vunit/com/__init__.py -> build/lib/vunit/com copying vunit/com/codec_vhdl_array_type.py -> build/lib/vunit/com copying vunit/com/codec_vhdl_enumeration_type.py -> build/lib/vunit/com copying vunit/com/codec_datatype_template.py -> build/lib/vunit/com copying vunit/com/codec_generator.py -> build/lib/vunit/com creating build/lib/vunit/parsing copying vunit/parsing/__init__.py -> build/lib/vunit/parsing copying vunit/parsing/tokenizer.py -> build/lib/vunit/parsing copying vunit/parsing/encodings.py -> build/lib/vunit/parsing creating build/lib/vunit/parsing/verilog copying vunit/parsing/verilog/__init__.py -> build/lib/vunit/parsing/verilog copying vunit/parsing/verilog/tokens.py -> build/lib/vunit/parsing/verilog copying vunit/parsing/verilog/preprocess.py -> build/lib/vunit/parsing/verilog copying vunit/parsing/verilog/tokenizer.py -> build/lib/vunit/parsing/verilog copying vunit/parsing/verilog/parser.py -> build/lib/vunit/parsing/verilog creating build/lib/vunit/sim_if copying vunit/sim_if/nvc.py -> build/lib/vunit/sim_if copying vunit/sim_if/ghdl.py -> build/lib/vunit/sim_if copying vunit/sim_if/incisive.py -> build/lib/vunit/sim_if copying vunit/sim_if/common.py -> build/lib/vunit/sim_if copying vunit/sim_if/__init__.py -> build/lib/vunit/sim_if copying vunit/sim_if/vsim_simulator_mixin.py -> build/lib/vunit/sim_if copying vunit/sim_if/modelsim.py -> build/lib/vunit/sim_if copying vunit/sim_if/activehdl.py -> build/lib/vunit/sim_if copying vunit/sim_if/cds_file.py -> build/lib/vunit/sim_if copying vunit/sim_if/rivierapro.py -> build/lib/vunit/sim_if copying vunit/sim_if/factory.py -> build/lib/vunit/sim_if creating build/lib/vunit/test copying vunit/test/bench.py -> build/lib/vunit/test copying vunit/test/suites.py -> build/lib/vunit/test copying vunit/test/__init__.py -> build/lib/vunit/test copying vunit/test/list.py -> build/lib/vunit/test copying vunit/test/runner.py -> build/lib/vunit/test copying vunit/test/bench_list.py -> build/lib/vunit/test copying vunit/test/report.py -> build/lib/vunit/test creating build/lib/vunit/ui copying vunit/ui/common.py -> build/lib/vunit/ui copying vunit/ui/__init__.py -> build/lib/vunit/ui copying vunit/ui/preprocessor.py -> build/lib/vunit/ui copying vunit/ui/library.py -> build/lib/vunit/ui copying vunit/ui/results.py -> build/lib/vunit/ui copying vunit/ui/test.py -> build/lib/vunit/ui copying vunit/ui/source.py -> build/lib/vunit/ui copying vunit/ui/packagefacade.py -> build/lib/vunit/ui copying vunit/ui/testbench.py -> build/lib/vunit/ui creating build/lib/vunit/vivado copying vunit/vivado/__init__.py -> build/lib/vunit/vivado copying vunit/vivado/vivado.py -> build/lib/vunit/vivado creating build/lib/vunit/vivado/tcl copying vunit/vivado/tcl/extract_compile_order.tcl -> build/lib/vunit/vivado/tcl creating build/lib/vunit/vhdl creating build/lib/vunit/vhdl/osvvm copying vunit/vhdl/osvvm/osvvm_old.tcl -> build/lib/vunit/vhdl/osvvm copying vunit/sim_if/tcl_read_eval_loop.tcl -> build/lib/vunit/sim_if copying vunit/vhdl/vunit_run_context.vhd -> build/lib/vunit/vhdl copying vunit/vhdl/compile_vunit_lib.py -> build/lib/vunit/vhdl copying vunit/vhdl/vunit_context.vhd -> build/lib/vunit/vhdl creating build/lib/vunit/vhdl/check copying vunit/vhdl/check/run.py -> build/lib/vunit/vhdl/check creating build/lib/vunit/vhdl/check/tools copying vunit/vhdl/check/tools/generate_check_equal.py -> build/lib/vunit/vhdl/check/tools copying vunit/vhdl/check/tools/generate_check_match.py -> build/lib/vunit/vhdl/check/tools creating build/lib/vunit/vhdl/check/src copying vunit/vhdl/check/src/check_api.vhd -> build/lib/vunit/vhdl/check/src copying vunit/vhdl/check/src/check.vhd -> build/lib/vunit/vhdl/check/src copying vunit/vhdl/check/src/checker_pkg.vhd -> build/lib/vunit/vhdl/check/src copying vunit/vhdl/check/src/checker_pkg-body.vhd -> build/lib/vunit/vhdl/check/src copying vunit/vhdl/check/src/check_deprecated_pkg.vhd -> build/lib/vunit/vhdl/check/src creating build/lib/vunit/vhdl/check/test copying vunit/vhdl/check/test/tb_check_sequence.vhd -> build/lib/vunit/vhdl/check/test copying vunit/vhdl/check/test/tb_result.vhd -> build/lib/vunit/vhdl/check/test copying vunit/vhdl/check/test/tb_check_failed.vhd -> build/lib/vunit/vhdl/check/test copying vunit/vhdl/check/test/tb_check_stable.vhd -> build/lib/vunit/vhdl/check/test copying vunit/vhdl/check/test/tb_check_false.vhd -> build/lib/vunit/vhdl/check/test copying vunit/vhdl/check/test/tb_check_not_unknown.vhd -> build/lib/vunit/vhdl/check/test copying vunit/vhdl/check/test/tb_check_passed.vhd -> build/lib/vunit/vhdl/check/test copying vunit/vhdl/check/test/tb_check_next.vhd -> build/lib/vunit/vhdl/check/test copying vunit/vhdl/check/test/tb_deprecated.vhd -> build/lib/vunit/vhdl/check/test copying vunit/vhdl/check/test/tb_checker.vhd -> build/lib/vunit/vhdl/check/test copying vunit/vhdl/check/test/tb_check_relation.vhd -> build/lib/vunit/vhdl/check/test copying vunit/vhdl/check/test/tb_check_equal_real.vhd -> build/lib/vunit/vhdl/check/test copying vunit/vhdl/check/test/tb_check_implication.vhd -> build/lib/vunit/vhdl/check/test copying vunit/vhdl/check/test/tb_check_relation_2008p.vhd -> build/lib/vunit/vhdl/check/test copying vunit/vhdl/check/test/tb_check.vhd -> build/lib/vunit/vhdl/check/test copying vunit/vhdl/check/test/tb_check_one_hot.vhd -> build/lib/vunit/vhdl/check/test copying vunit/vhdl/check/test/test_support.vhd -> build/lib/vunit/vhdl/check/test copying vunit/vhdl/check/test/tb_check_zero_one_hot.vhd -> build/lib/vunit/vhdl/check/test creating build/lib/vunit/vhdl/core creating build/lib/vunit/vhdl/core/src copying vunit/vhdl/core/src/stop_pkg.vhd -> build/lib/vunit/vhdl/core/src copying vunit/vhdl/core/src/core_pkg.vhd -> build/lib/vunit/vhdl/core/src copying vunit/vhdl/core/src/stop_body_2008p.vhd -> build/lib/vunit/vhdl/core/src copying vunit/vhdl/core/src/stop_body_93-2002.vhd -> build/lib/vunit/vhdl/core/src creating build/lib/vunit/vhdl/JSON-for-VHDL copying vunit/vhdl/JSON-for-VHDL/LICENSE.md -> build/lib/vunit/vhdl/JSON-for-VHDL copying vunit/vhdl/JSON-for-VHDL/.gitignore -> build/lib/vunit/vhdl/JSON-for-VHDL copying vunit/vhdl/JSON-for-VHDL/AUTHORS.md -> build/lib/vunit/vhdl/JSON-for-VHDL copying vunit/vhdl/JSON-for-VHDL/README.md -> build/lib/vunit/vhdl/JSON-for-VHDL creating build/lib/vunit/vhdl/JSON-for-VHDL/tests copying vunit/vhdl/JSON-for-VHDL/tests/run.sh -> build/lib/vunit/vhdl/JSON-for-VHDL/tests creating build/lib/vunit/vhdl/JSON-for-VHDL/tests/ghdl copying vunit/vhdl/JSON-for-VHDL/tests/ghdl/Boards2.cmd -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/ghdl copying vunit/vhdl/JSON-for-VHDL/tests/ghdl/Boards2.sh -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/ghdl copying vunit/vhdl/JSON-for-VHDL/tests/ghdl/Boards2.log -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/ghdl creating build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/LICENSE.md -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/TopLevel.vhdl -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/JSONTestSuite.ps1 -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/README.md -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite creating build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/number_1.000000000000000005.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/object_same_key_different_values.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/string_2_invalid_codepoints.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/number_1000000000000000.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/number_1e6.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/number_1e-999.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/string_1_escaped_invalid_codepoint.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/string_3_escaped_invalid_codepoints.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/object_key_nfc_nfd.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/number_10000000000000000999.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/string_2_escaped_invalid_codepoints.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/string_1_invalid_codepoint.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/string_3_invalid_codepoints.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/object_key_nfd_nfc.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/string_with_escaped_NULL.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/number_1.0.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/object_same_key_same_value.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/object_same_key_unclear_values.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform creating build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_newlines_unclosed.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_0.3e.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_real_pos_overflow.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_0.1.2.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_invalid_utf8.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_utf16BE_no_BOM.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_negative_one.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_1.0e-.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_array_with_leading_space.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_double_comma.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_incomplete_UTF8_BOM.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_pos_double_huge_exp.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_invalid-utf-8-in-escape.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_structure_lonely_string.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_u+2029_par_sep.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_NaN.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_+Inf.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_unicode_U+FDD0_nonchar.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_invalid_lonely_surrogate.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_uescaped_LF_before_string.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_0e+.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_pi_in_key_and_trailing_comma.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_incomplete.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_items_separated_by_semicolon.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_object.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_open_array_object.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_missing_value.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_2.e3.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_truncated-utf-8.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_single_quote.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_negative_zero.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_structure_true_in_array.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_last_surrogates_1_and_2.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_-1.0..json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_100000_opening_arrays.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_real_neg_exp.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_invalid-utf-8-in-int.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_expression.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_1_true_without_comma.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_minus_zero.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_simple_ascii.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_overlong_sequence_6_bytes_null.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_UTF-8_invalid_sequence.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_leading_uescaped_thinspace.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_garbage_at_end.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_incomplete_escaped_character.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_array_empty-string.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_object_unclosed_no_value.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_real_neg_overflow.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_missing_value.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_incomplete_surrogate_and_escape_valid.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_unclosed_with_object_inside.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_unescaped_tab.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_negative_int.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_0.e1.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_unclosed_array_unfinished_true.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_emoji.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_inverted_surrogates_U+1D11E.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_newline_uescaped.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_invalid-negative-real.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_unclosed_trailing_comma.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_object_basic.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_trailing_comment_slash_open_incomplete.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_1_surrogate_then_escape u.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_single_star.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_structure_lonely_int.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_not_in_unicode_range.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_unicode-identifier.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_object_duplicated_key_and_value.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_neg_with_garbage_at_end.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_incomplete_surrogate_escape_invalid.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_real_without_fractional_part.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_backslash_00.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_colon_instead_of_comma.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_incomplete_false.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_U+FF11_fullwidth_digit_one.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_open_open.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_unicode_U+1FFFE_nonchar.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_missing_semicolon.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_non_string_key.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_open_array_open_string.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_incomplete_null.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_0e1.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_array_with_several_null.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_UTF8_surrogate_U+D800.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_utf16LE_no_BOM.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_object_with_newlines.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_1st_surrogate_but_2nd_missing.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_unclosed_with_new_lines.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_unescaped_char_delete.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_single_doublequote.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_very_big_negative_int.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_object_extreme_numbers.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_comma_after_close.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_neg_int_huge_exp.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_real_garbage_after_e.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_starting_with_dot.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_array_false.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_.-1.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_.2e-3.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_double_close_to_zero.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_whitespace_formfeed.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_-2..json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_unicode_U+FFFE_nonchar.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_incomplete_true.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_hex_2_digits.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_end_array.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_null-byte-outside-string.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_real_fraction_exponent.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_unquoted_key.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_object_key_lone_2nd_surrogate.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_ascii-unicode-identifier.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_with_del_character.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_array_ending_with_newline.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_double_escape_n.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_trailing_comment.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_double_extra_comma.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_open_array_open_object.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_UTF-16LE_with_BOM.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_several_trailing_commas.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_real_underflow.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_overlong_sequence_6_bytes.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_structure_lonely_true.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_open_object_close_array.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_array_with_extra_array_close.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_object_escaped_null_in_key.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_int_with_exp.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_open_array_comma.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_trailing_comment_open.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_object_string_unicode.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_in_array_with_leading_space.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_double_colon.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure__null_.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_1.0e+.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_number_and_comma.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_+1.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_nonCharacterInUTF-8_U+FFFF.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_comma_instead_of_colon.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_hex_1_digit.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_invalid_utf-8.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_object_duplicated_key.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_open_object_open_string.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_just_comma.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_no_data.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_single_quote.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_1_surrogate_then_escape u1.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_incomplete_surrogate.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_lone_utf8_continuation_byte.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_object_simple.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_array_with_trailing_space.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_incomplete_invalid_value.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_escaped_emoji.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_bracket_key.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_missing_key.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_two-byte-utf-8.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_utf8.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_bad_value.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_too_big_pos_int.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_in_array.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_no-colon.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_object_empty_key.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_no_quotes_with_bad_escape.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_object_with_comment.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_start_escape_unclosed.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_1eE2.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_extra_close.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_trailing_comma.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_simple_int.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_u+2028_line_sep.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_1_000.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_single_point.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_just_minus.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_unicode_escaped_double_quote.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_too_big_neg_int.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_null_escape.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_real_pos_exponent.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_iso_latin_1.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_9.e+.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_accepted_surrogate_pairs.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_0_capital_E+.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_-01.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_non_string_key_but_huge_number_instead.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_unicode.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_close_unopened_array.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_lone_second_surrogate.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_single_space.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_array_null.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_capitalized_True.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_with_trailing_garbage.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_object_with_trailing_garbage.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_real_capital_e_neg_exp.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_1.0e.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_open_array_apostrophe.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_unicode_2.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_repeated_null_null.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_invalid_backslash_esc.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_double_escape_a.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_unicodeEscapedBackslash.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_inner_array_no_comma.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_invalid_surrogate.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_after_space.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_U+2060_word_joined.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_escaped_noncharacter.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_overlong_sequence_2_bytes.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_unterminated-value.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_nonCharacterInUTF-8_U+1FFFF.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_two_commas_in_a_row.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_open_object_string_with_apostrophes.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_invalid-utf-8-in-bigger-int.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_with_trailing_garbage.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_real_exponent.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_0e.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_array_heterogeneous.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_unescaped_crtl_char.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_simple_real.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_single_string_no_double_quotes.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_minus_sign_with_trailing_garbage.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_2.e-3.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_0_capital_E.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_allowed_escapes.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_lone-open-bracket.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_0.3e+.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_escape_x.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_object_long_strings.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_with_single_string.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_space.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_structure_string_empty.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_huge_exp.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_open_object_comma.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_1_surrogate_then_escape.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_backslash_doublequotes.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_then_00.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_unicode_U+200B_ZERO_WIDTH_SPACE.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_array_arraysWithSpaces.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_a_invalid_utf8.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_incomplete_surrogates_escape_valid.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_escaped_backslash_bad.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_trailing_#.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_incomplete_escape.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_trailing_comment_slash_open.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_minus_space_1.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_with_alpha.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_invalid+-.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_surrogates_U+1D11E_MUSICAL_SYMBOL_G_CLEF.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_accepted_surrogate_pair.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_with_alpha_char.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_UTF8_BOM_no_data.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_unclosed_array_unfinished_false.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_missing_colon.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_comments.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_incomplete_surrogate_pair.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_infinity.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_real_capital_e_pos_exp.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_unicode_CapitalU.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_three-byte-utf-8.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_comma_instead_of_closing_brace.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_structure_whitespace_array.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_number_and_several_commas.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_lone-invalid-utf-8.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure__._.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_whitespace_U+2060_word_joiner.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_Inf.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_number_with_trailing_garbage.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_unicode_U+2064_invisible_plus.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_real_capital_e.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_invalid-utf-8-in-exponent.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_1_surrogate_then_escape u1x.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_unclosed_array_partial_null.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_escaped_control_character.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_uEscape.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_structure_lonely_negative_real.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_real_with_invalid_utf8_after_e.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_one-byte-utf-8.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_open_object_open_array.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_open_object.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_structure_lonely_null.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_object_followed_by_closing_object.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_minus_infinity.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_structure_lonely_false.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_pi.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_array_empty.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_-NaN.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_neg_int_starting_with_zero.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_unclosed_array.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_nonCharacterInUTF-8_U+10FFFF.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_spaces_vertical_tab_formfeed.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_structure_trailing_newline.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_with_leading_zero.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_unclosed_object.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_2.e+3.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_structure_UTF-8_BOM_empty_object.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_invalid_utf8_after_escape.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_array_with_unclosed_string.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_escaped_ctrl_char_tab.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_invalid_unicode_escape.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_neg_real_without_int_part.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_double_array.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_array_trailing_garbage.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_array_with_1_and_newline.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_star_inside.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_1st_valid_surrogate_2nd_invalid.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_comma_and_number.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_key_with_single_quotes.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_unicode_U+10FFFE_nonchar.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_accentuated_char_no_quotes.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_backslash_and_u_escaped_zero.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_unclosed.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_open_array_string.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_0e+1.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_double_huge_neg_exp.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_structure_500_nested_arrays.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_extra_comma.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_++.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_unescaped_newline.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_object_empty.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_1_2_3_bytes_UTF-8_sequences.json -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing creating build/lib/vunit/vhdl/JSON-for-VHDL/tests/Xilinx ISE copying vunit/vhdl/JSON-for-VHDL/tests/Xilinx ISE/JSON.xise -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/Xilinx ISE creating build/lib/vunit/vhdl/JSON-for-VHDL/tests/Xilinx ISE/iseconfig copying vunit/vhdl/JSON-for-VHDL/tests/Xilinx ISE/iseconfig/filter.filter -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/Xilinx ISE/iseconfig creating build/lib/vunit/vhdl/JSON-for-VHDL/tests/QuestaSim copying vunit/vhdl/JSON-for-VHDL/tests/QuestaSim/Boards2.cmd -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/QuestaSim copying vunit/vhdl/JSON-for-VHDL/tests/QuestaSim/Boards2.log -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/QuestaSim creating build/lib/vunit/vhdl/JSON-for-VHDL/tests/VUnit copying vunit/vhdl/JSON-for-VHDL/tests/VUnit/run.py -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/VUnit creating build/lib/vunit/vhdl/JSON-for-VHDL/tests/Lattice copying vunit/vhdl/JSON-for-VHDL/tests/Lattice/Lattice.ldf -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/Lattice copying vunit/vhdl/JSON-for-VHDL/tests/Lattice/Lattice1.sty -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/Lattice copying vunit/vhdl/JSON-for-VHDL/tests/Lattice/Lattice.lpf -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/Lattice creating build/lib/vunit/vhdl/JSON-for-VHDL/tests/Lattice/impl_2 copying vunit/vhdl/JSON-for-VHDL/tests/Lattice/impl_2/Lattice_impl_2.lpf -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/Lattice/impl_2 creating build/lib/vunit/vhdl/JSON-for-VHDL/tests/Lattice/impl_1 copying vunit/vhdl/JSON-for-VHDL/tests/Lattice/impl_1/Lattice_impl_1.lpf -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/Lattice/impl_1 creating build/lib/vunit/vhdl/JSON-for-VHDL/tests/Xilinx Vivado copying vunit/vhdl/JSON-for-VHDL/tests/Xilinx Vivado/Xilinx Vivado.xpr -> build/lib/vunit/vhdl/JSON-for-VHDL/tests/Xilinx Vivado creating build/lib/vunit/vhdl/JSON-for-VHDL/examples copying vunit/vhdl/JSON-for-VHDL/examples/Encodings_VUnit.vhdl -> build/lib/vunit/vhdl/JSON-for-VHDL/examples copying vunit/vhdl/JSON-for-VHDL/examples/Boards0.vhdl -> build/lib/vunit/vhdl/JSON-for-VHDL/examples copying vunit/vhdl/JSON-for-VHDL/examples/Boards2.vhdl -> build/lib/vunit/vhdl/JSON-for-VHDL/examples copying vunit/vhdl/JSON-for-VHDL/examples/Boards_VUnit.vhdl -> build/lib/vunit/vhdl/JSON-for-VHDL/examples copying vunit/vhdl/JSON-for-VHDL/examples/Boards1.vhdl -> build/lib/vunit/vhdl/JSON-for-VHDL/examples creating build/lib/vunit/vhdl/JSON-for-VHDL/.github creating build/lib/vunit/vhdl/JSON-for-VHDL/.github/workflows copying vunit/vhdl/JSON-for-VHDL/.github/workflows/test.yml -> build/lib/vunit/vhdl/JSON-for-VHDL/.github/workflows creating build/lib/vunit/vhdl/JSON-for-VHDL/data copying vunit/vhdl/JSON-for-VHDL/data/Boards0.json -> build/lib/vunit/vhdl/JSON-for-VHDL/data copying vunit/vhdl/JSON-for-VHDL/data/Boards1.json -> build/lib/vunit/vhdl/JSON-for-VHDL/data copying vunit/vhdl/JSON-for-VHDL/data/Boards2.json -> build/lib/vunit/vhdl/JSON-for-VHDL/data creating build/lib/vunit/vhdl/JSON-for-VHDL/src copying vunit/vhdl/JSON-for-VHDL/src/JSON.ctx.vhdl -> build/lib/vunit/vhdl/JSON-for-VHDL/src copying vunit/vhdl/JSON-for-VHDL/src/JSON.pkg.vhdl -> build/lib/vunit/vhdl/JSON-for-VHDL/src copying vunit/vhdl/JSON-for-VHDL/src/Encodings.pkg.vhdl -> build/lib/vunit/vhdl/JSON-for-VHDL/src creating build/lib/vunit/vhdl/string_ops copying vunit/vhdl/string_ops/run.py -> build/lib/vunit/vhdl/string_ops creating build/lib/vunit/vhdl/string_ops/src copying vunit/vhdl/string_ops/src/string_ops.vhd -> build/lib/vunit/vhdl/string_ops/src creating build/lib/vunit/vhdl/string_ops/test copying vunit/vhdl/string_ops/test/tb_string_ops.vhd -> build/lib/vunit/vhdl/string_ops/test creating build/lib/vunit/vhdl/dictionary copying vunit/vhdl/dictionary/run.py -> build/lib/vunit/vhdl/dictionary creating build/lib/vunit/vhdl/dictionary/src copying vunit/vhdl/dictionary/src/dictionary.vhd -> build/lib/vunit/vhdl/dictionary/src creating build/lib/vunit/vhdl/dictionary/test copying vunit/vhdl/dictionary/test/tb_dictionary.vhd -> build/lib/vunit/vhdl/dictionary/test creating build/lib/vunit/vhdl/verification_components copying vunit/vhdl/verification_components/run.py -> build/lib/vunit/vhdl/verification_components creating build/lib/vunit/vhdl/verification_components/src copying vunit/vhdl/verification_components/src/avalon_pkg.vhd -> build/lib/vunit/vhdl/verification_components/src copying vunit/vhdl/verification_components/src/memory_utils_pkg.vhd -> build/lib/vunit/vhdl/verification_components/src copying vunit/vhdl/verification_components/src/ram_master.vhd -> build/lib/vunit/vhdl/verification_components/src copying vunit/vhdl/verification_components/src/bus_master_pkg.vhd -> build/lib/vunit/vhdl/verification_components/src copying vunit/vhdl/verification_components/src/stream_slave_pkg-body.vhd -> build/lib/vunit/vhdl/verification_components/src copying vunit/vhdl/verification_components/src/vc_context.vhd -> build/lib/vunit/vhdl/verification_components/src copying vunit/vhdl/verification_components/src/uart_pkg.vhd -> build/lib/vunit/vhdl/verification_components/src copying vunit/vhdl/verification_components/src/avalon_master.vhd -> build/lib/vunit/vhdl/verification_components/src copying vunit/vhdl/verification_components/src/uart_master.vhd -> build/lib/vunit/vhdl/verification_components/src copying vunit/vhdl/verification_components/src/sync_pkg-body.vhd -> build/lib/vunit/vhdl/verification_components/src copying vunit/vhdl/verification_components/src/stream_slave_pkg.vhd -> build/lib/vunit/vhdl/verification_components/src copying vunit/vhdl/verification_components/src/axi_read_slave.vhd -> build/lib/vunit/vhdl/verification_components/src copying vunit/vhdl/verification_components/src/axi_lite_master.vhd -> build/lib/vunit/vhdl/verification_components/src copying vunit/vhdl/verification_components/src/stream_master_pkg-body.vhd -> build/lib/vunit/vhdl/verification_components/src copying vunit/vhdl/verification_components/src/axi_lite_master_pkg.vhd -> build/lib/vunit/vhdl/verification_components/src copying vunit/vhdl/verification_components/src/sync_pkg.vhd -> build/lib/vunit/vhdl/verification_components/src copying vunit/vhdl/verification_components/src/axi_slave_pkg.vhd -> build/lib/vunit/vhdl/verification_components/src copying vunit/vhdl/verification_components/src/wishbone_slave.vhd -> build/lib/vunit/vhdl/verification_components/src copying vunit/vhdl/verification_components/src/avalon_sink.vhd -> build/lib/vunit/vhdl/verification_components/src copying vunit/vhdl/verification_components/src/signal_checker_pkg.vhd -> build/lib/vunit/vhdl/verification_components/src copying vunit/vhdl/verification_components/src/wishbone_pkg.vhd -> build/lib/vunit/vhdl/verification_components/src copying vunit/vhdl/verification_components/src/axi_stream_monitor.vhd -> build/lib/vunit/vhdl/verification_components/src copying vunit/vhdl/verification_components/src/avalon_slave.vhd -> build/lib/vunit/vhdl/verification_components/src copying vunit/vhdl/verification_components/src/wishbone_master.vhd -> build/lib/vunit/vhdl/verification_components/src copying vunit/vhdl/verification_components/src/bus2memory.vhd -> build/lib/vunit/vhdl/verification_components/src copying vunit/vhdl/verification_components/src/std_logic_checker.vhd -> build/lib/vunit/vhdl/verification_components/src copying vunit/vhdl/verification_components/src/axi_write_slave.vhd -> build/lib/vunit/vhdl/verification_components/src copying vunit/vhdl/verification_components/src/memory_pkg.vhd -> build/lib/vunit/vhdl/verification_components/src copying vunit/vhdl/verification_components/src/axi_stream_pkg.vhd -> build/lib/vunit/vhdl/verification_components/src copying vunit/vhdl/verification_components/src/axi_stream_private_pkg.vhd -> build/lib/vunit/vhdl/verification_components/src copying vunit/vhdl/verification_components/src/stream_master_pkg.vhd -> build/lib/vunit/vhdl/verification_components/src copying vunit/vhdl/verification_components/src/bus_master_pkg-body.vhd -> build/lib/vunit/vhdl/verification_components/src copying vunit/vhdl/verification_components/src/axi_stream_slave.vhd -> build/lib/vunit/vhdl/verification_components/src copying vunit/vhdl/verification_components/src/avalon_source.vhd -> build/lib/vunit/vhdl/verification_components/src copying vunit/vhdl/verification_components/src/uart_slave.vhd -> build/lib/vunit/vhdl/verification_components/src copying vunit/vhdl/verification_components/src/axi_stream_master.vhd -> build/lib/vunit/vhdl/verification_components/src copying vunit/vhdl/verification_components/src/axi_statistics_pkg.vhd -> build/lib/vunit/vhdl/verification_components/src copying vunit/vhdl/verification_components/src/axi_pkg.vhd -> build/lib/vunit/vhdl/verification_components/src copying vunit/vhdl/verification_components/src/memory_pkg-body.vhd -> build/lib/vunit/vhdl/verification_components/src copying vunit/vhdl/verification_components/src/axi_slave_private_pkg.vhd -> build/lib/vunit/vhdl/verification_components/src copying vunit/vhdl/verification_components/src/avalon_stream_pkg.vhd -> build/lib/vunit/vhdl/verification_components/src copying vunit/vhdl/verification_components/src/axi_stream_protocol_checker.vhd -> build/lib/vunit/vhdl/verification_components/src creating build/lib/vunit/vhdl/verification_components/test copying vunit/vhdl/verification_components/test/tb_memory.vhd -> build/lib/vunit/vhdl/verification_components/test copying vunit/vhdl/verification_components/test/tb_wishbone_slave.vhd -> build/lib/vunit/vhdl/verification_components/test copying vunit/vhdl/verification_components/test/tb_avalon_stream.vhd -> build/lib/vunit/vhdl/verification_components/test copying vunit/vhdl/verification_components/test/tb_wishbone_master.gtkw -> build/lib/vunit/vhdl/verification_components/test copying vunit/vhdl/verification_components/test/tb_uart.vhd -> build/lib/vunit/vhdl/verification_components/test copying vunit/vhdl/verification_components/test/tb_axi_lite_master.vhd -> build/lib/vunit/vhdl/verification_components/test copying vunit/vhdl/verification_components/test/tb_axi_write_slave.vhd -> build/lib/vunit/vhdl/verification_components/test copying vunit/vhdl/verification_components/test/tb_avalon.vhd -> build/lib/vunit/vhdl/verification_components/test copying vunit/vhdl/verification_components/test/tb_avalon_slave.vhd -> build/lib/vunit/vhdl/verification_components/test copying vunit/vhdl/verification_components/test/tb_avalon_master.gtkw -> build/lib/vunit/vhdl/verification_components/test copying vunit/vhdl/verification_components/test/tb_avalon_stream.gtkw -> build/lib/vunit/vhdl/verification_components/test copying vunit/vhdl/verification_components/test/tb_bus_master_pkg.vhd -> build/lib/vunit/vhdl/verification_components/test copying vunit/vhdl/verification_components/test/tb_avalon_slave.gtkw -> build/lib/vunit/vhdl/verification_components/test copying vunit/vhdl/verification_components/test/tb_wishbone_slave.gtkw -> build/lib/vunit/vhdl/verification_components/test copying vunit/vhdl/verification_components/test/tb_axi_slave_private_pkg.vhd -> build/lib/vunit/vhdl/verification_components/test copying vunit/vhdl/verification_components/test/tb_sync_pkg.vhd -> build/lib/vunit/vhdl/verification_components/test copying vunit/vhdl/verification_components/test/tb_std_logic_checker.vhd -> build/lib/vunit/vhdl/verification_components/test copying vunit/vhdl/verification_components/test/tb_avalon_stream_pkg.vhd -> build/lib/vunit/vhdl/verification_components/test copying vunit/vhdl/verification_components/test/tb_avalon_master.vhd -> build/lib/vunit/vhdl/verification_components/test copying vunit/vhdl/verification_components/test/tb_axi_stream_protocol_checker.vhd -> build/lib/vunit/vhdl/verification_components/test copying vunit/vhdl/verification_components/test/tb_ram_master.vhd -> build/lib/vunit/vhdl/verification_components/test copying vunit/vhdl/verification_components/test/tb_axi_stream.vhd -> build/lib/vunit/vhdl/verification_components/test copying vunit/vhdl/verification_components/test/tb_axi_read_slave.vhd -> build/lib/vunit/vhdl/verification_components/test copying vunit/vhdl/verification_components/test/tb_memory_utils_pkg.vhd -> build/lib/vunit/vhdl/verification_components/test copying vunit/vhdl/verification_components/test/tb_wishbone_master.vhd -> build/lib/vunit/vhdl/verification_components/test copying vunit/vhdl/verification_components/test/tb_axi_statistics_pkg.vhd -> build/lib/vunit/vhdl/verification_components/test creating build/lib/vunit/vhdl/com copying vunit/vhdl/com/run.py -> build/lib/vunit/vhdl/com creating build/lib/vunit/vhdl/com/src copying vunit/vhdl/com/src/com_string.vhd -> build/lib/vunit/vhdl/com/src copying vunit/vhdl/com/src/com.vhd -> build/lib/vunit/vhdl/com/src copying vunit/vhdl/com/src/com_types.vhd -> build/lib/vunit/vhdl/com/src copying vunit/vhdl/com/src/com_support.vhd -> build/lib/vunit/vhdl/com/src copying vunit/vhdl/com/src/com_deprecated.vhd -> build/lib/vunit/vhdl/com/src copying vunit/vhdl/com/src/com_debug_codec_builder.vhd -> build/lib/vunit/vhdl/com/src copying vunit/vhdl/com/src/com_context.vhd -> build/lib/vunit/vhdl/com/src copying vunit/vhdl/com/src/com_messenger.vhd -> build/lib/vunit/vhdl/com/src copying vunit/vhdl/com/src/com_api.vhd -> build/lib/vunit/vhdl/com/src copying vunit/vhdl/com/src/com_common.vhd -> build/lib/vunit/vhdl/com/src creating build/lib/vunit/vhdl/com/test copying vunit/vhdl/com/test/tb_com.vhd -> build/lib/vunit/vhdl/com/test copying vunit/vhdl/com/test/tb_com_deprecated.vhd -> build/lib/vunit/vhdl/com/test copying vunit/vhdl/com/test/custom_types.vhd -> build/lib/vunit/vhdl/com/test copying vunit/vhdl/com/test/tb_com_msg_building.vhd -> build/lib/vunit/vhdl/com/test copying vunit/vhdl/com/test/tb_com_codec.vhd -> build/lib/vunit/vhdl/com/test copying vunit/vhdl/com/test/constants.vhd -> build/lib/vunit/vhdl/com/test copying vunit/vhdl/com/test/more_constants.vhd -> build/lib/vunit/vhdl/com/test creating build/lib/vunit/vhdl/run copying vunit/vhdl/run/run.py -> build/lib/vunit/vhdl/run creating build/lib/vunit/vhdl/run/src copying vunit/vhdl/run/src/run.vhd -> build/lib/vunit/vhdl/run/src copying vunit/vhdl/run/src/run_deprecated_pkg.vhd -> build/lib/vunit/vhdl/run/src copying vunit/vhdl/run/src/run_api.vhd -> build/lib/vunit/vhdl/run/src copying vunit/vhdl/run/src/runner_pkg.vhd -> build/lib/vunit/vhdl/run/src copying vunit/vhdl/run/src/run_types.vhd -> build/lib/vunit/vhdl/run/src creating build/lib/vunit/vhdl/run/test copying vunit/vhdl/run/test/tb_watchdog.vhd -> build/lib/vunit/vhdl/run/test copying vunit/vhdl/run/test/tb_run.vhd -> build/lib/vunit/vhdl/run/test copying vunit/vhdl/run/test/run_tests.vhd -> build/lib/vunit/vhdl/run/test copying vunit/vhdl/osvvm/VendorCovApiPkg.vhd -> build/lib/vunit/vhdl/osvvm copying vunit/vhdl/osvvm/LICENSE.md -> build/lib/vunit/vhdl/osvvm copying vunit/vhdl/osvvm/.gitignore -> build/lib/vunit/vhdl/osvvm copying vunit/vhdl/osvvm/MemoryPkg_orig_c.vhd -> build/lib/vunit/vhdl/osvvm copying vunit/vhdl/osvvm/ScoreboardPkg_int_c.vhd -> build/lib/vunit/vhdl/osvvm copying vunit/vhdl/osvvm/ResizePkg.vhd -> build/lib/vunit/vhdl/osvvm copying vunit/vhdl/osvvm/OsvvmContext.vhd -> build/lib/vunit/vhdl/osvvm copying vunit/vhdl/osvvm/TranscriptPkg.vhd -> build/lib/vunit/vhdl/osvvm copying vunit/vhdl/osvvm/CONTRIBUTORS.md -> build/lib/vunit/vhdl/osvvm copying vunit/vhdl/osvvm/OsvvmScriptSettingsPkg.vhd -> build/lib/vunit/vhdl/osvvm copying vunit/vhdl/osvvm/ScoreboardPkg_slv_c.vhd -> build/lib/vunit/vhdl/osvvm copying vunit/vhdl/osvvm/ScoreboardPkg_int.vhd -> build/lib/vunit/vhdl/osvvm copying vunit/vhdl/osvvm/SortListPkg_int.vhd -> build/lib/vunit/vhdl/osvvm copying vunit/vhdl/osvvm/ScoreboardPkg_slv.vhd -> build/lib/vunit/vhdl/osvvm copying vunit/vhdl/osvvm/MemoryGenericPkg.vhd -> build/lib/vunit/vhdl/osvvm copying vunit/vhdl/osvvm/osvvm.pro -> build/lib/vunit/vhdl/osvvm copying vunit/vhdl/osvvm/RandomPkg.vhd -> build/lib/vunit/vhdl/osvvm copying vunit/vhdl/osvvm/MemorySupportPkg.vhd -> build/lib/vunit/vhdl/osvvm copying vunit/vhdl/osvvm/CHANGELOG.md -> build/lib/vunit/vhdl/osvvm copying vunit/vhdl/osvvm/AlertLogPkg.vhd -> build/lib/vunit/vhdl/osvvm copying vunit/vhdl/osvvm/TextUtilPkg.vhd -> build/lib/vunit/vhdl/osvvm copying vunit/vhdl/osvvm/ScoreboardGenericPkg.vhd -> build/lib/vunit/vhdl/osvvm copying vunit/vhdl/osvvm/AUTHORS.md -> build/lib/vunit/vhdl/osvvm copying vunit/vhdl/osvvm/OsvvmTypesPkg.vhd -> build/lib/vunit/vhdl/osvvm copying vunit/vhdl/osvvm/MemoryPkg_c.vhd -> build/lib/vunit/vhdl/osvvm copying vunit/vhdl/osvvm/MemoryPkg.vhd -> build/lib/vunit/vhdl/osvvm copying vunit/vhdl/osvvm/RandomBasePkg.vhd -> build/lib/vunit/vhdl/osvvm copying vunit/vhdl/osvvm/OsvvmGlobalPkg.vhd -> build/lib/vunit/vhdl/osvvm copying vunit/vhdl/osvvm/NamePkg.vhd -> build/lib/vunit/vhdl/osvvm copying vunit/vhdl/osvvm/ReportPkg.vhd -> build/lib/vunit/vhdl/osvvm copying vunit/vhdl/osvvm/MessageListPkg.vhd -> build/lib/vunit/vhdl/osvvm copying vunit/vhdl/osvvm/MessagePkg.vhd -> build/lib/vunit/vhdl/osvvm copying vunit/vhdl/osvvm/TbUtilPkg.vhd -> build/lib/vunit/vhdl/osvvm copying vunit/vhdl/osvvm/NameStorePkg.vhd -> build/lib/vunit/vhdl/osvvm copying vunit/vhdl/osvvm/README.md -> build/lib/vunit/vhdl/osvvm copying vunit/vhdl/osvvm/CoveragePkg.vhd -> build/lib/vunit/vhdl/osvvm copying vunit/vhdl/osvvm/ResolutionPkg.vhd -> build/lib/vunit/vhdl/osvvm copying vunit/vhdl/osvvm/VendorCovApiPkg_Aldec.vhd -> build/lib/vunit/vhdl/osvvm copying vunit/vhdl/osvvm/RandomProcedurePkg.vhd -> build/lib/vunit/vhdl/osvvm copying vunit/vhdl/osvvm/OsvvmScriptSettingsPkg_default.vhd -> build/lib/vunit/vhdl/osvvm creating build/lib/vunit/vhdl/osvvm/demo copying vunit/vhdl/osvvm/demo/Demo_Rand.vhd -> build/lib/vunit/vhdl/osvvm/demo copying vunit/vhdl/osvvm/demo/AlertLog_Demo_Global.vhd -> build/lib/vunit/vhdl/osvvm/demo copying vunit/vhdl/osvvm/demo/AlertLog_Demo_Hierarchy.vhd -> build/lib/vunit/vhdl/osvvm/demo creating build/lib/vunit/vhdl/data_types copying vunit/vhdl/data_types/run.py -> build/lib/vunit/vhdl/data_types creating build/lib/vunit/vhdl/data_types/tools copying vunit/vhdl/data_types/tools/generate_dict.py -> build/lib/vunit/vhdl/data_types/tools creating build/lib/vunit/vhdl/data_types/src copying vunit/vhdl/data_types/src/string_ptr_pkg-body-2002p.vhd -> build/lib/vunit/vhdl/data_types/src copying vunit/vhdl/data_types/src/integer_vector_ptr_pkg-body-93.vhd -> build/lib/vunit/vhdl/data_types/src copying vunit/vhdl/data_types/src/data_types_private_pkg.vhd -> build/lib/vunit/vhdl/data_types/src copying vunit/vhdl/data_types/src/string_ptr_pool_pkg.vhd -> build/lib/vunit/vhdl/data_types/src copying vunit/vhdl/data_types/src/queue_pkg.vhd -> build/lib/vunit/vhdl/data_types/src copying vunit/vhdl/data_types/src/data_types_context.vhd -> build/lib/vunit/vhdl/data_types/src copying vunit/vhdl/data_types/src/types.vhd -> build/lib/vunit/vhdl/data_types/src copying vunit/vhdl/data_types/src/queue_pool_pkg.vhd -> build/lib/vunit/vhdl/data_types/src copying vunit/vhdl/data_types/src/integer_vector_ptr_pkg-body-2002p.vhd -> build/lib/vunit/vhdl/data_types/src copying vunit/vhdl/data_types/src/codec_builder.vhd -> build/lib/vunit/vhdl/data_types/src copying vunit/vhdl/data_types/src/dict_pkg-2008p.vhd -> build/lib/vunit/vhdl/data_types/src copying vunit/vhdl/data_types/src/byte_vector_ptr_pkg.vhd -> build/lib/vunit/vhdl/data_types/src copying vunit/vhdl/data_types/src/integer_array_pkg-body.vhd -> build/lib/vunit/vhdl/data_types/src copying vunit/vhdl/data_types/src/id_pkg.vhd -> build/lib/vunit/vhdl/data_types/src copying vunit/vhdl/data_types/src/codec.vhd -> build/lib/vunit/vhdl/data_types/src copying vunit/vhdl/data_types/src/codec_builder-2008p.vhd -> build/lib/vunit/vhdl/data_types/src copying vunit/vhdl/data_types/src/integer_vector_ptr_pool_pkg.vhd -> build/lib/vunit/vhdl/data_types/src copying vunit/vhdl/data_types/src/integer_vector_ptr_pkg.vhd -> build/lib/vunit/vhdl/data_types/src copying vunit/vhdl/data_types/src/integer_array_pkg.vhd -> build/lib/vunit/vhdl/data_types/src copying vunit/vhdl/data_types/src/event_common_pkg.vhd -> build/lib/vunit/vhdl/data_types/src copying vunit/vhdl/data_types/src/queue_pkg-2008p.vhd -> build/lib/vunit/vhdl/data_types/src copying vunit/vhdl/data_types/src/dict_pkg.vhd -> build/lib/vunit/vhdl/data_types/src copying vunit/vhdl/data_types/src/event_pkg.vhd -> build/lib/vunit/vhdl/data_types/src copying vunit/vhdl/data_types/src/event_private_pkg.vhd -> build/lib/vunit/vhdl/data_types/src copying vunit/vhdl/data_types/src/dict_pkg-body.vhd -> build/lib/vunit/vhdl/data_types/src copying vunit/vhdl/data_types/src/string_ptr_pkg-body-93.vhd -> build/lib/vunit/vhdl/data_types/src copying vunit/vhdl/data_types/src/codec-2008p.vhd -> build/lib/vunit/vhdl/data_types/src copying vunit/vhdl/data_types/src/string_ptr_pkg.vhd -> build/lib/vunit/vhdl/data_types/src copying vunit/vhdl/data_types/src/queue_pkg-body.vhd -> build/lib/vunit/vhdl/data_types/src creating build/lib/vunit/vhdl/data_types/src/api copying vunit/vhdl/data_types/src/api/external_integer_vector_pkg.vhd -> build/lib/vunit/vhdl/data_types/src/api copying vunit/vhdl/data_types/src/api/external_string_pkg.vhd -> build/lib/vunit/vhdl/data_types/src/api creating build/lib/vunit/vhdl/data_types/test copying vunit/vhdl/data_types/test/tb_dict-2008p.vhd -> build/lib/vunit/vhdl/data_types/test copying vunit/vhdl/data_types/test/tb_event_pkg.vhd -> build/lib/vunit/vhdl/data_types/test copying vunit/vhdl/data_types/test/tb_integer_vector_ptr.vhd -> build/lib/vunit/vhdl/data_types/test copying vunit/vhdl/data_types/test/tb_integer_vector_ptr_pool.vhd -> build/lib/vunit/vhdl/data_types/test copying vunit/vhdl/data_types/test/tb_byte_vector_ptr.vhd -> build/lib/vunit/vhdl/data_types/test copying vunit/vhdl/data_types/test/tb_integer_array.vhd -> build/lib/vunit/vhdl/data_types/test copying vunit/vhdl/data_types/test/tb_dict.vhd -> build/lib/vunit/vhdl/data_types/test copying vunit/vhdl/data_types/test/tb_queue_pool.vhd -> build/lib/vunit/vhdl/data_types/test copying vunit/vhdl/data_types/test/tb_id.vhd -> build/lib/vunit/vhdl/data_types/test copying vunit/vhdl/data_types/test/tb_codec.vhd -> build/lib/vunit/vhdl/data_types/test copying vunit/vhdl/data_types/test/tb_string_ptr.vhd -> build/lib/vunit/vhdl/data_types/test copying vunit/vhdl/data_types/test/tb_codec-2008p.vhd -> build/lib/vunit/vhdl/data_types/test copying vunit/vhdl/data_types/test/tb_queue.vhd -> build/lib/vunit/vhdl/data_types/test copying vunit/vhdl/data_types/test/tb_event_private_pkg.vhd -> build/lib/vunit/vhdl/data_types/test copying vunit/vhdl/data_types/test/tb_queue-2008p.vhd -> build/lib/vunit/vhdl/data_types/test copying vunit/vhdl/data_types/test/tb_string_ptr_pool.vhd -> build/lib/vunit/vhdl/data_types/test creating build/lib/vunit/vhdl/logging copying vunit/vhdl/logging/run.py -> build/lib/vunit/vhdl/logging creating build/lib/vunit/vhdl/logging/src copying vunit/vhdl/logging/src/log_levels_pkg.vhd -> build/lib/vunit/vhdl/logging/src copying vunit/vhdl/logging/src/print_pkg.vhd -> build/lib/vunit/vhdl/logging/src copying vunit/vhdl/logging/src/log_deprecated_pkg.vhd -> build/lib/vunit/vhdl/logging/src copying vunit/vhdl/logging/src/ansi_pkg.vhd -> build/lib/vunit/vhdl/logging/src copying vunit/vhdl/logging/src/location_pkg.vhd -> build/lib/vunit/vhdl/logging/src copying vunit/vhdl/logging/src/file_pkg.vhd -> build/lib/vunit/vhdl/logging/src copying vunit/vhdl/logging/src/print_pkg-body.vhd -> build/lib/vunit/vhdl/logging/src copying vunit/vhdl/logging/src/logger_pkg-body.vhd -> build/lib/vunit/vhdl/logging/src copying vunit/vhdl/logging/src/location_pkg-body-2019p.vhd -> build/lib/vunit/vhdl/logging/src copying vunit/vhdl/logging/src/log_handler_pkg-body.vhd -> build/lib/vunit/vhdl/logging/src copying vunit/vhdl/logging/src/log_levels_pkg-body.vhd -> build/lib/vunit/vhdl/logging/src copying vunit/vhdl/logging/src/logger_pkg.vhd -> build/lib/vunit/vhdl/logging/src copying vunit/vhdl/logging/src/log_handler_pkg.vhd -> build/lib/vunit/vhdl/logging/src copying vunit/vhdl/logging/src/location_pkg-body-2008m.vhd -> build/lib/vunit/vhdl/logging/src creating build/lib/vunit/vhdl/logging/test copying vunit/vhdl/logging/test/tb_location.vhd -> build/lib/vunit/vhdl/logging/test copying vunit/vhdl/logging/test/tb_log_levels.vhd -> build/lib/vunit/vhdl/logging/test copying vunit/vhdl/logging/test/tb_deprecated.vhd -> build/lib/vunit/vhdl/logging/test copying vunit/vhdl/logging/test/tb_log.vhd -> build/lib/vunit/vhdl/logging/test copying vunit/vhdl/logging/test/test_support_pkg.vhd -> build/lib/vunit/vhdl/logging/test creating build/lib/vunit/vhdl/array copying vunit/vhdl/array/run.py -> build/lib/vunit/vhdl/array creating build/lib/vunit/vhdl/array/src copying vunit/vhdl/array/src/array_pkg.vhd -> build/lib/vunit/vhdl/array/src creating build/lib/vunit/vhdl/array/test copying vunit/vhdl/array/test/tb_array.vhd -> build/lib/vunit/vhdl/array/test creating build/lib/vunit/vhdl/path copying vunit/vhdl/path/run.py -> build/lib/vunit/vhdl/path creating build/lib/vunit/vhdl/path/src copying vunit/vhdl/path/src/path.vhd -> build/lib/vunit/vhdl/path/src creating build/lib/vunit/vhdl/path/test copying vunit/vhdl/path/test/tb_path.vhd -> build/lib/vunit/vhdl/path/test creating build/lib/vunit/vhdl/random copying vunit/vhdl/random/run.py -> build/lib/vunit/vhdl/random creating build/lib/vunit/vhdl/random/src copying vunit/vhdl/random/src/random_pkg.vhd -> build/lib/vunit/vhdl/random/src creating build/lib/vunit/vhdl/random/test copying vunit/vhdl/random/test/tb_random_pkg.vhd -> build/lib/vunit/vhdl/random/test creating build/lib/vunit/verilog copying vunit/verilog/vunit_pkg.sv -> build/lib/vunit/verilog creating build/lib/vunit/verilog/include copying vunit/verilog/include/vunit_defines.svh -> build/lib/vunit/verilog/include creating build/lib/vunit/verilog/check creating build/lib/vunit/verilog/check/test copying vunit/verilog/check/test/check_tb.sv -> build/lib/vunit/verilog/check/test installing to build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel running install running install_lib creating build/bdist.freebsd-13.4-RELEASE-p4-amd64 creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/tests creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/tests/acceptance copying build/lib/tests/acceptance/test_artificial.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/tests/acceptance copying build/lib/tests/acceptance/__init__.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/tests/acceptance copying build/lib/tests/acceptance/test_external_run_scripts.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/tests/acceptance copying build/lib/tests/acceptance/test_dependencies.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/tests/acceptance creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/tests/lint copying build/lib/tests/lint/test_license.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/tests/lint copying build/lib/tests/lint/__init__.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/tests/lint copying build/lib/tests/lint/test_pycodestyle.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/tests/lint copying build/lib/tests/lint/test_pylint.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/tests/lint copying build/lib/tests/lint/test_mypy.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/tests/lint copying build/lib/tests/common.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/tests copying build/lib/tests/__init__.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/tests creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/tests/unit copying build/lib/tests/unit/test_ui.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/tests/unit copying build/lib/tests/unit/test_modelsim_interface.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/tests/unit copying build/lib/tests/unit/test_database.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/tests/unit copying build/lib/tests/unit/test_test_bench_list.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/tests/unit copying build/lib/tests/unit/test_dependency_graph.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/tests/unit copying build/lib/tests/unit/test_test_bench.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/tests/unit copying build/lib/tests/unit/test_configuration.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/tests/unit copying build/lib/tests/unit/__init__.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/tests/unit copying build/lib/tests/unit/test_verilog_preprocessor.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/tests/unit copying build/lib/tests/unit/test_test_suites.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/tests/unit copying build/lib/tests/unit/test_incisive_interface.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/tests/unit copying build/lib/tests/unit/test_check_preprocessor.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/tests/unit copying build/lib/tests/unit/test_verilog_tokenizer.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/tests/unit copying build/lib/tests/unit/test_cds_file.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/tests/unit copying build/lib/tests/unit/test_activehdl_interface.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/tests/unit copying build/lib/tests/unit/test_verilog_parser.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/tests/unit copying build/lib/tests/unit/non_utf8_printer.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/tests/unit copying build/lib/tests/unit/test_csv_logs.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/tests/unit copying build/lib/tests/unit/test_test_runner.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/tests/unit copying build/lib/tests/unit/test_rivierapro_interface.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/tests/unit copying build/lib/tests/unit/test_vhdl_standard.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/tests/unit copying build/lib/tests/unit/test_builtins.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/tests/unit copying build/lib/tests/unit/test_test_report.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/tests/unit copying build/lib/tests/unit/test_simulator_interface.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/tests/unit copying build/lib/tests/unit/test_ghdl_interface.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/tests/unit copying build/lib/tests/unit/test_vhdl_parser.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/tests/unit copying build/lib/tests/unit/test_tokenizer.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/tests/unit copying build/lib/tests/unit/test_project.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/tests/unit copying build/lib/tests/unit/test_ostools.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/tests/unit copying build/lib/tests/unit/test_location_preprocessor.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/tests/unit creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit copying build/lib/vunit/hashing.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/parsing creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/parsing/verilog copying build/lib/vunit/parsing/verilog/__init__.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/parsing/verilog copying build/lib/vunit/parsing/verilog/tokens.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/parsing/verilog copying build/lib/vunit/parsing/verilog/preprocess.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/parsing/verilog copying build/lib/vunit/parsing/verilog/tokenizer.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/parsing/verilog copying build/lib/vunit/parsing/verilog/parser.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/parsing/verilog copying build/lib/vunit/parsing/__init__.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/parsing copying build/lib/vunit/parsing/tokenizer.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/parsing copying build/lib/vunit/parsing/encodings.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/parsing copying build/lib/vunit/json4vhdl.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit copying build/lib/vunit/location_preprocessor.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit copying build/lib/vunit/design_unit.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/verilog creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/verilog/include copying build/lib/vunit/verilog/include/vunit_defines.svh -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/verilog/include creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/verilog/check creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/verilog/check/test copying build/lib/vunit/verilog/check/test/check_tb.sv -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/verilog/check/test copying build/lib/vunit/verilog/vunit_pkg.sv -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/verilog copying build/lib/vunit/about.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit copying build/lib/vunit/project.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit copying build/lib/vunit/__init__.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit copying build/lib/vunit/verilog.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit copying build/lib/vunit/csv_logs.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vivado creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vivado/tcl copying build/lib/vunit/vivado/tcl/extract_compile_order.tcl -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vivado/tcl copying build/lib/vunit/vivado/__init__.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vivado copying build/lib/vunit/vivado/vivado.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vivado creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/ui copying build/lib/vunit/ui/common.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/ui copying build/lib/vunit/ui/__init__.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/ui copying build/lib/vunit/ui/preprocessor.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/ui copying build/lib/vunit/ui/library.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/ui copying build/lib/vunit/ui/results.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/ui copying build/lib/vunit/ui/test.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/ui copying build/lib/vunit/ui/source.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/ui copying build/lib/vunit/ui/packagefacade.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/ui copying build/lib/vunit/ui/testbench.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/ui copying build/lib/vunit/library.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit copying build/lib/vunit/vhdl_parser.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit copying build/lib/vunit/dependency_graph.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit copying build/lib/vunit/check_preprocessor.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit copying build/lib/vunit/configuration.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl copying build/lib/vunit/vhdl/vunit_run_context.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/check copying build/lib/vunit/vhdl/check/run.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/check creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/check/tools copying build/lib/vunit/vhdl/check/tools/generate_check_equal.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/check/tools copying build/lib/vunit/vhdl/check/tools/generate_check_match.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/check/tools creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/check/src copying build/lib/vunit/vhdl/check/src/check_api.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/check/src copying build/lib/vunit/vhdl/check/src/check.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/check/src copying build/lib/vunit/vhdl/check/src/checker_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/check/src copying build/lib/vunit/vhdl/check/src/checker_pkg-body.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/check/src copying build/lib/vunit/vhdl/check/src/check_deprecated_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/check/src creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/check/test copying build/lib/vunit/vhdl/check/test/tb_check_sequence.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/check/test copying build/lib/vunit/vhdl/check/test/tb_result.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/check/test copying build/lib/vunit/vhdl/check/test/tb_check_failed.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/check/test copying build/lib/vunit/vhdl/check/test/tb_check_stable.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/check/test copying build/lib/vunit/vhdl/check/test/tb_check_false.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/check/test copying build/lib/vunit/vhdl/check/test/tb_check_not_unknown.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/check/test copying build/lib/vunit/vhdl/check/test/tb_check_passed.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/check/test copying build/lib/vunit/vhdl/check/test/tb_check_next.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/check/test copying build/lib/vunit/vhdl/check/test/tb_deprecated.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/check/test copying build/lib/vunit/vhdl/check/test/tb_checker.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/check/test copying build/lib/vunit/vhdl/check/test/tb_check_relation.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/check/test copying build/lib/vunit/vhdl/check/test/tb_check_equal_real.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/check/test copying build/lib/vunit/vhdl/check/test/tb_check_implication.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/check/test copying build/lib/vunit/vhdl/check/test/tb_check_relation_2008p.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/check/test copying build/lib/vunit/vhdl/check/test/tb_check.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/check/test copying build/lib/vunit/vhdl/check/test/tb_check_one_hot.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/check/test copying build/lib/vunit/vhdl/check/test/test_support.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/check/test copying build/lib/vunit/vhdl/check/test/tb_check_zero_one_hot.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/check/test creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/core creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/core/src copying build/lib/vunit/vhdl/core/src/stop_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/core/src copying build/lib/vunit/vhdl/core/src/core_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/core/src copying build/lib/vunit/vhdl/core/src/stop_body_2008p.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/core/src copying build/lib/vunit/vhdl/core/src/stop_body_93-2002.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/core/src creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL copying build/lib/vunit/vhdl/JSON-for-VHDL/LICENSE.md -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL copying build/lib/vunit/vhdl/JSON-for-VHDL/.gitignore -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/ghdl copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/ghdl/Boards2.cmd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/ghdl copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/ghdl/Boards2.sh -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/ghdl copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/ghdl/Boards2.log -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/ghdl creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/LICENSE.md -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/number_1.000000000000000005.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/object_same_key_different_values.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/string_2_invalid_codepoints.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/number_1000000000000000.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/number_1e6.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/number_1e-999.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/string_1_escaped_invalid_codepoint.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/string_3_escaped_invalid_codepoints.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/object_key_nfc_nfd.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/number_10000000000000000999.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/string_2_escaped_invalid_codepoints.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/string_1_invalid_codepoint.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/string_3_invalid_codepoints.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/object_key_nfd_nfc.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/string_with_escaped_NULL.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/number_1.0.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/object_same_key_same_value.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/object_same_key_unclear_values.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_newlines_unclosed.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_0.3e.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_real_pos_overflow.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_0.1.2.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_invalid_utf8.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_utf16BE_no_BOM.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_negative_one.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_1.0e-.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_array_with_leading_space.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_double_comma.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_incomplete_UTF8_BOM.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_pos_double_huge_exp.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_invalid-utf-8-in-escape.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_structure_lonely_string.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_u+2029_par_sep.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_NaN.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_+Inf.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_unicode_U+FDD0_nonchar.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_invalid_lonely_surrogate.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_uescaped_LF_before_string.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_0e+.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_pi_in_key_and_trailing_comma.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_incomplete.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_items_separated_by_semicolon.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_object.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_open_array_object.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_missing_value.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_2.e3.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_truncated-utf-8.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_single_quote.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_negative_zero.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_structure_true_in_array.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_last_surrogates_1_and_2.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_-1.0..json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_100000_opening_arrays.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_real_neg_exp.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_invalid-utf-8-in-int.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_expression.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_1_true_without_comma.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_minus_zero.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_simple_ascii.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_overlong_sequence_6_bytes_null.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_UTF-8_invalid_sequence.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_leading_uescaped_thinspace.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_garbage_at_end.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_incomplete_escaped_character.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_array_empty-string.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_object_unclosed_no_value.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_real_neg_overflow.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_missing_value.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_incomplete_surrogate_and_escape_valid.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_unclosed_with_object_inside.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_unescaped_tab.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_negative_int.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_0.e1.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_unclosed_array_unfinished_true.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_emoji.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_inverted_surrogates_U+1D11E.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_newline_uescaped.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_invalid-negative-real.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_unclosed_trailing_comma.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_object_basic.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_trailing_comment_slash_open_incomplete.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_1_surrogate_then_escape u.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_single_star.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_structure_lonely_int.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_not_in_unicode_range.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_unicode-identifier.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_object_duplicated_key_and_value.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_neg_with_garbage_at_end.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_incomplete_surrogate_escape_invalid.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_real_without_fractional_part.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_backslash_00.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_colon_instead_of_comma.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_incomplete_false.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_U+FF11_fullwidth_digit_one.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_open_open.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_unicode_U+1FFFE_nonchar.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_missing_semicolon.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_non_string_key.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_open_array_open_string.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_incomplete_null.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_0e1.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_array_with_several_null.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_UTF8_surrogate_U+D800.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_utf16LE_no_BOM.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_object_with_newlines.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_1st_surrogate_but_2nd_missing.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_unclosed_with_new_lines.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_unescaped_char_delete.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_single_doublequote.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_very_big_negative_int.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_object_extreme_numbers.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_comma_after_close.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_neg_int_huge_exp.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_real_garbage_after_e.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_starting_with_dot.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_array_false.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_.-1.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_.2e-3.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_double_close_to_zero.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_whitespace_formfeed.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_-2..json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_unicode_U+FFFE_nonchar.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_incomplete_true.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_hex_2_digits.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_end_array.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_null-byte-outside-string.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_real_fraction_exponent.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_unquoted_key.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_object_key_lone_2nd_surrogate.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_ascii-unicode-identifier.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_with_del_character.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_array_ending_with_newline.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_double_escape_n.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_trailing_comment.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_double_extra_comma.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_open_array_open_object.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_UTF-16LE_with_BOM.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_several_trailing_commas.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_real_underflow.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_overlong_sequence_6_bytes.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_structure_lonely_true.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_open_object_close_array.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_array_with_extra_array_close.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_object_escaped_null_in_key.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_int_with_exp.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_open_array_comma.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_trailing_comment_open.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_object_string_unicode.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_in_array_with_leading_space.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_double_colon.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure__null_.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_1.0e+.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_number_and_comma.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_+1.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_nonCharacterInUTF-8_U+FFFF.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_comma_instead_of_colon.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_hex_1_digit.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_invalid_utf-8.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_object_duplicated_key.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_open_object_open_string.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_just_comma.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_no_data.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_single_quote.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_1_surrogate_then_escape u1.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_incomplete_surrogate.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_lone_utf8_continuation_byte.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_object_simple.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_array_with_trailing_space.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_incomplete_invalid_value.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_escaped_emoji.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_bracket_key.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_missing_key.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_two-byte-utf-8.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_utf8.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_bad_value.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_too_big_pos_int.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_in_array.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_no-colon.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_object_empty_key.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_no_quotes_with_bad_escape.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_object_with_comment.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_start_escape_unclosed.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_1eE2.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_extra_close.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_trailing_comma.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_simple_int.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_u+2028_line_sep.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_1_000.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_single_point.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_just_minus.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_unicode_escaped_double_quote.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_too_big_neg_int.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_null_escape.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_real_pos_exponent.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_iso_latin_1.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_9.e+.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_accepted_surrogate_pairs.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_0_capital_E+.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_-01.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_non_string_key_but_huge_number_instead.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_unicode.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_close_unopened_array.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_lone_second_surrogate.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_single_space.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_array_null.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_capitalized_True.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_with_trailing_garbage.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_object_with_trailing_garbage.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_real_capital_e_neg_exp.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_1.0e.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_open_array_apostrophe.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_unicode_2.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_repeated_null_null.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_invalid_backslash_esc.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_double_escape_a.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_unicodeEscapedBackslash.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_inner_array_no_comma.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_invalid_surrogate.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_after_space.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_U+2060_word_joined.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_escaped_noncharacter.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_overlong_sequence_2_bytes.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_unterminated-value.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_nonCharacterInUTF-8_U+1FFFF.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_two_commas_in_a_row.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_open_object_string_with_apostrophes.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_invalid-utf-8-in-bigger-int.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_with_trailing_garbage.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_real_exponent.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_0e.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_array_heterogeneous.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_unescaped_crtl_char.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_simple_real.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_single_string_no_double_quotes.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_minus_sign_with_trailing_garbage.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_2.e-3.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_0_capital_E.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_allowed_escapes.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_lone-open-bracket.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_0.3e+.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_escape_x.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_object_long_strings.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_with_single_string.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_space.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_structure_string_empty.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_huge_exp.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_open_object_comma.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_1_surrogate_then_escape.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_backslash_doublequotes.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_then_00.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_unicode_U+200B_ZERO_WIDTH_SPACE.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_array_arraysWithSpaces.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_a_invalid_utf8.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_incomplete_surrogates_escape_valid.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_escaped_backslash_bad.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_trailing_#.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_incomplete_escape.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_trailing_comment_slash_open.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_minus_space_1.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_with_alpha.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_invalid+-.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_surrogates_U+1D11E_MUSICAL_SYMBOL_G_CLEF.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_accepted_surrogate_pair.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_with_alpha_char.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_UTF8_BOM_no_data.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_unclosed_array_unfinished_false.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_missing_colon.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_comments.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_incomplete_surrogate_pair.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_infinity.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_real_capital_e_pos_exp.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_unicode_CapitalU.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_three-byte-utf-8.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_comma_instead_of_closing_brace.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_structure_whitespace_array.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_number_and_several_commas.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_lone-invalid-utf-8.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure__._.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_whitespace_U+2060_word_joiner.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_Inf.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_number_with_trailing_garbage.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_unicode_U+2064_invisible_plus.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_real_capital_e.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_invalid-utf-8-in-exponent.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_1_surrogate_then_escape u1x.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_unclosed_array_partial_null.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_escaped_control_character.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_uEscape.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_structure_lonely_negative_real.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_real_with_invalid_utf8_after_e.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_one-byte-utf-8.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_open_object_open_array.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_open_object.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_structure_lonely_null.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_object_followed_by_closing_object.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_minus_infinity.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_structure_lonely_false.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_pi.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_array_empty.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_-NaN.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_neg_int_starting_with_zero.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_unclosed_array.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_nonCharacterInUTF-8_U+10FFFF.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_spaces_vertical_tab_formfeed.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_structure_trailing_newline.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_with_leading_zero.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_unclosed_object.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_2.e+3.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_structure_UTF-8_BOM_empty_object.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_invalid_utf8_after_escape.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_array_with_unclosed_string.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_escaped_ctrl_char_tab.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_invalid_unicode_escape.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_neg_real_without_int_part.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_double_array.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_array_trailing_garbage.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_array_with_1_and_newline.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_star_inside.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_1st_valid_surrogate_2nd_invalid.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_comma_and_number.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_key_with_single_quotes.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_unicode_U+10FFFE_nonchar.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_accentuated_char_no_quotes.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_backslash_and_u_escaped_zero.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_unclosed.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_open_array_string.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_0e+1.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_double_huge_neg_exp.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_structure_500_nested_arrays.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_extra_comma.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_++.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_unescaped_newline.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_object_empty.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_1_2_3_bytes_UTF-8_sequences.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/TopLevel.vhdl -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/JSONTestSuite.ps1 -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/README.md -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/Xilinx ISE copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/Xilinx ISE/JSON.xise -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/Xilinx ISE creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/Xilinx ISE/iseconfig copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/Xilinx ISE/iseconfig/filter.filter -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/Xilinx ISE/iseconfig copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/run.sh -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/QuestaSim copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/QuestaSim/Boards2.cmd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/QuestaSim copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/QuestaSim/Boards2.log -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/QuestaSim creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/VUnit copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/VUnit/run.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/VUnit creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/Lattice creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/Lattice/impl_2 copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/Lattice/impl_2/Lattice_impl_2.lpf -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/Lattice/impl_2 creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/Lattice/impl_1 copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/Lattice/impl_1/Lattice_impl_1.lpf -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/Lattice/impl_1 copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/Lattice/Lattice.ldf -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/Lattice copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/Lattice/Lattice1.sty -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/Lattice copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/Lattice/Lattice.lpf -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/Lattice creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/Xilinx Vivado copying build/lib/vunit/vhdl/JSON-for-VHDL/tests/Xilinx Vivado/Xilinx Vivado.xpr -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/tests/Xilinx Vivado creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/examples copying build/lib/vunit/vhdl/JSON-for-VHDL/examples/Encodings_VUnit.vhdl -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/examples copying build/lib/vunit/vhdl/JSON-for-VHDL/examples/Boards0.vhdl -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/examples copying build/lib/vunit/vhdl/JSON-for-VHDL/examples/Boards2.vhdl -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/examples copying build/lib/vunit/vhdl/JSON-for-VHDL/examples/Boards_VUnit.vhdl -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/examples copying build/lib/vunit/vhdl/JSON-for-VHDL/examples/Boards1.vhdl -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/examples copying build/lib/vunit/vhdl/JSON-for-VHDL/AUTHORS.md -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/.github creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/.github/workflows copying build/lib/vunit/vhdl/JSON-for-VHDL/.github/workflows/test.yml -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/.github/workflows creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/data copying build/lib/vunit/vhdl/JSON-for-VHDL/data/Boards0.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/data copying build/lib/vunit/vhdl/JSON-for-VHDL/data/Boards1.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/data copying build/lib/vunit/vhdl/JSON-for-VHDL/data/Boards2.json -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/data copying build/lib/vunit/vhdl/JSON-for-VHDL/README.md -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/src copying build/lib/vunit/vhdl/JSON-for-VHDL/src/JSON.ctx.vhdl -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/src copying build/lib/vunit/vhdl/JSON-for-VHDL/src/JSON.pkg.vhdl -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/src copying build/lib/vunit/vhdl/JSON-for-VHDL/src/Encodings.pkg.vhdl -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/JSON-for-VHDL/src creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/string_ops copying build/lib/vunit/vhdl/string_ops/run.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/string_ops creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/string_ops/src copying build/lib/vunit/vhdl/string_ops/src/string_ops.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/string_ops/src creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/string_ops/test copying build/lib/vunit/vhdl/string_ops/test/tb_string_ops.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/string_ops/test creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/dictionary copying build/lib/vunit/vhdl/dictionary/run.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/dictionary creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/dictionary/src copying build/lib/vunit/vhdl/dictionary/src/dictionary.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/dictionary/src creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/dictionary/test copying build/lib/vunit/vhdl/dictionary/test/tb_dictionary.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/dictionary/test copying build/lib/vunit/vhdl/compile_vunit_lib.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components copying build/lib/vunit/vhdl/verification_components/run.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/src copying build/lib/vunit/vhdl/verification_components/src/avalon_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/src copying build/lib/vunit/vhdl/verification_components/src/memory_utils_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/src copying build/lib/vunit/vhdl/verification_components/src/ram_master.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/src copying build/lib/vunit/vhdl/verification_components/src/bus_master_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/src copying build/lib/vunit/vhdl/verification_components/src/stream_slave_pkg-body.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/src copying build/lib/vunit/vhdl/verification_components/src/vc_context.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/src copying build/lib/vunit/vhdl/verification_components/src/uart_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/src copying build/lib/vunit/vhdl/verification_components/src/avalon_master.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/src copying build/lib/vunit/vhdl/verification_components/src/uart_master.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/src copying build/lib/vunit/vhdl/verification_components/src/sync_pkg-body.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/src copying build/lib/vunit/vhdl/verification_components/src/stream_slave_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/src copying build/lib/vunit/vhdl/verification_components/src/axi_read_slave.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/src copying build/lib/vunit/vhdl/verification_components/src/axi_lite_master.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/src copying build/lib/vunit/vhdl/verification_components/src/stream_master_pkg-body.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/src copying build/lib/vunit/vhdl/verification_components/src/axi_lite_master_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/src copying build/lib/vunit/vhdl/verification_components/src/sync_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/src copying build/lib/vunit/vhdl/verification_components/src/axi_slave_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/src copying build/lib/vunit/vhdl/verification_components/src/wishbone_slave.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/src copying build/lib/vunit/vhdl/verification_components/src/avalon_sink.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/src copying build/lib/vunit/vhdl/verification_components/src/signal_checker_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/src copying build/lib/vunit/vhdl/verification_components/src/wishbone_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/src copying build/lib/vunit/vhdl/verification_components/src/axi_stream_monitor.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/src copying build/lib/vunit/vhdl/verification_components/src/avalon_slave.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/src copying build/lib/vunit/vhdl/verification_components/src/wishbone_master.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/src copying build/lib/vunit/vhdl/verification_components/src/bus2memory.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/src copying build/lib/vunit/vhdl/verification_components/src/std_logic_checker.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/src copying build/lib/vunit/vhdl/verification_components/src/axi_write_slave.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/src copying build/lib/vunit/vhdl/verification_components/src/memory_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/src copying build/lib/vunit/vhdl/verification_components/src/axi_stream_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/src copying build/lib/vunit/vhdl/verification_components/src/axi_stream_private_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/src copying build/lib/vunit/vhdl/verification_components/src/stream_master_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/src copying build/lib/vunit/vhdl/verification_components/src/bus_master_pkg-body.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/src copying build/lib/vunit/vhdl/verification_components/src/axi_stream_slave.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/src copying build/lib/vunit/vhdl/verification_components/src/avalon_source.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/src copying build/lib/vunit/vhdl/verification_components/src/uart_slave.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/src copying build/lib/vunit/vhdl/verification_components/src/axi_stream_master.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/src copying build/lib/vunit/vhdl/verification_components/src/axi_statistics_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/src copying build/lib/vunit/vhdl/verification_components/src/axi_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/src copying build/lib/vunit/vhdl/verification_components/src/memory_pkg-body.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/src copying build/lib/vunit/vhdl/verification_components/src/axi_slave_private_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/src copying build/lib/vunit/vhdl/verification_components/src/avalon_stream_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/src copying build/lib/vunit/vhdl/verification_components/src/axi_stream_protocol_checker.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/src creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/test copying build/lib/vunit/vhdl/verification_components/test/tb_memory.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/test copying build/lib/vunit/vhdl/verification_components/test/tb_wishbone_slave.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/test copying build/lib/vunit/vhdl/verification_components/test/tb_avalon_stream.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/test copying build/lib/vunit/vhdl/verification_components/test/tb_wishbone_master.gtkw -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/test copying build/lib/vunit/vhdl/verification_components/test/tb_uart.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/test copying build/lib/vunit/vhdl/verification_components/test/tb_axi_lite_master.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/test copying build/lib/vunit/vhdl/verification_components/test/tb_axi_write_slave.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/test copying build/lib/vunit/vhdl/verification_components/test/tb_avalon.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/test copying build/lib/vunit/vhdl/verification_components/test/tb_avalon_slave.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/test copying build/lib/vunit/vhdl/verification_components/test/tb_avalon_master.gtkw -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/test copying build/lib/vunit/vhdl/verification_components/test/tb_avalon_stream.gtkw -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/test copying build/lib/vunit/vhdl/verification_components/test/tb_bus_master_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/test copying build/lib/vunit/vhdl/verification_components/test/tb_avalon_slave.gtkw -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/test copying build/lib/vunit/vhdl/verification_components/test/tb_wishbone_slave.gtkw -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/test copying build/lib/vunit/vhdl/verification_components/test/tb_axi_slave_private_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/test copying build/lib/vunit/vhdl/verification_components/test/tb_sync_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/test copying build/lib/vunit/vhdl/verification_components/test/tb_std_logic_checker.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/test copying build/lib/vunit/vhdl/verification_components/test/tb_avalon_stream_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/test copying build/lib/vunit/vhdl/verification_components/test/tb_avalon_master.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/test copying build/lib/vunit/vhdl/verification_components/test/tb_axi_stream_protocol_checker.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/test copying build/lib/vunit/vhdl/verification_components/test/tb_ram_master.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/test copying build/lib/vunit/vhdl/verification_components/test/tb_axi_stream.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/test copying build/lib/vunit/vhdl/verification_components/test/tb_axi_read_slave.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/test copying build/lib/vunit/vhdl/verification_components/test/tb_memory_utils_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/test copying build/lib/vunit/vhdl/verification_components/test/tb_wishbone_master.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/test copying build/lib/vunit/vhdl/verification_components/test/tb_axi_statistics_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/verification_components/test creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/com copying build/lib/vunit/vhdl/com/run.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/com creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/com/src copying build/lib/vunit/vhdl/com/src/com_string.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/com/src copying build/lib/vunit/vhdl/com/src/com.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/com/src copying build/lib/vunit/vhdl/com/src/com_types.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/com/src copying build/lib/vunit/vhdl/com/src/com_support.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/com/src copying build/lib/vunit/vhdl/com/src/com_deprecated.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/com/src copying build/lib/vunit/vhdl/com/src/com_debug_codec_builder.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/com/src copying build/lib/vunit/vhdl/com/src/com_context.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/com/src copying build/lib/vunit/vhdl/com/src/com_messenger.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/com/src copying build/lib/vunit/vhdl/com/src/com_api.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/com/src copying build/lib/vunit/vhdl/com/src/com_common.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/com/src creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/com/test copying build/lib/vunit/vhdl/com/test/tb_com.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/com/test copying build/lib/vunit/vhdl/com/test/tb_com_deprecated.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/com/test copying build/lib/vunit/vhdl/com/test/custom_types.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/com/test copying build/lib/vunit/vhdl/com/test/tb_com_msg_building.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/com/test copying build/lib/vunit/vhdl/com/test/tb_com_codec.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/com/test copying build/lib/vunit/vhdl/com/test/constants.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/com/test copying build/lib/vunit/vhdl/com/test/more_constants.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/com/test copying build/lib/vunit/vhdl/vunit_context.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/run copying build/lib/vunit/vhdl/run/run.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/run creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/run/src copying build/lib/vunit/vhdl/run/src/run.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/run/src copying build/lib/vunit/vhdl/run/src/run_deprecated_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/run/src copying build/lib/vunit/vhdl/run/src/run_api.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/run/src copying build/lib/vunit/vhdl/run/src/runner_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/run/src copying build/lib/vunit/vhdl/run/src/run_types.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/run/src creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/run/test copying build/lib/vunit/vhdl/run/test/tb_watchdog.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/run/test copying build/lib/vunit/vhdl/run/test/tb_run.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/run/test copying build/lib/vunit/vhdl/run/test/run_tests.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/run/test creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/osvvm copying build/lib/vunit/vhdl/osvvm/VendorCovApiPkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/osvvm copying build/lib/vunit/vhdl/osvvm/LICENSE.md -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/osvvm copying build/lib/vunit/vhdl/osvvm/.gitignore -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/osvvm copying build/lib/vunit/vhdl/osvvm/MemoryPkg_orig_c.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/osvvm copying build/lib/vunit/vhdl/osvvm/ScoreboardPkg_int_c.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/osvvm copying build/lib/vunit/vhdl/osvvm/ResizePkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/osvvm copying build/lib/vunit/vhdl/osvvm/OsvvmContext.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/osvvm copying build/lib/vunit/vhdl/osvvm/TranscriptPkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/osvvm copying build/lib/vunit/vhdl/osvvm/CONTRIBUTORS.md -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/osvvm copying build/lib/vunit/vhdl/osvvm/OsvvmScriptSettingsPkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/osvvm copying build/lib/vunit/vhdl/osvvm/ScoreboardPkg_slv_c.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/osvvm copying build/lib/vunit/vhdl/osvvm/ScoreboardPkg_int.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/osvvm copying build/lib/vunit/vhdl/osvvm/SortListPkg_int.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/osvvm copying build/lib/vunit/vhdl/osvvm/ScoreboardPkg_slv.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/osvvm copying build/lib/vunit/vhdl/osvvm/MemoryGenericPkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/osvvm copying build/lib/vunit/vhdl/osvvm/osvvm.pro -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/osvvm copying build/lib/vunit/vhdl/osvvm/RandomPkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/osvvm copying build/lib/vunit/vhdl/osvvm/MemorySupportPkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/osvvm copying build/lib/vunit/vhdl/osvvm/CHANGELOG.md -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/osvvm copying build/lib/vunit/vhdl/osvvm/AlertLogPkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/osvvm copying build/lib/vunit/vhdl/osvvm/TextUtilPkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/osvvm copying build/lib/vunit/vhdl/osvvm/ScoreboardGenericPkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/osvvm copying build/lib/vunit/vhdl/osvvm/AUTHORS.md -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/osvvm copying build/lib/vunit/vhdl/osvvm/OsvvmTypesPkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/osvvm copying build/lib/vunit/vhdl/osvvm/MemoryPkg_c.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/osvvm copying build/lib/vunit/vhdl/osvvm/MemoryPkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/osvvm creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/osvvm/demo copying build/lib/vunit/vhdl/osvvm/demo/Demo_Rand.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/osvvm/demo copying build/lib/vunit/vhdl/osvvm/demo/AlertLog_Demo_Global.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/osvvm/demo copying build/lib/vunit/vhdl/osvvm/demo/AlertLog_Demo_Hierarchy.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/osvvm/demo copying build/lib/vunit/vhdl/osvvm/RandomBasePkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/osvvm copying build/lib/vunit/vhdl/osvvm/OsvvmGlobalPkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/osvvm copying build/lib/vunit/vhdl/osvvm/NamePkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/osvvm copying build/lib/vunit/vhdl/osvvm/ReportPkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/osvvm copying build/lib/vunit/vhdl/osvvm/osvvm_old.tcl -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/osvvm copying build/lib/vunit/vhdl/osvvm/MessageListPkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/osvvm copying build/lib/vunit/vhdl/osvvm/MessagePkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/osvvm copying build/lib/vunit/vhdl/osvvm/TbUtilPkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/osvvm copying build/lib/vunit/vhdl/osvvm/NameStorePkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/osvvm copying build/lib/vunit/vhdl/osvvm/README.md -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/osvvm copying build/lib/vunit/vhdl/osvvm/CoveragePkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/osvvm copying build/lib/vunit/vhdl/osvvm/ResolutionPkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/osvvm copying build/lib/vunit/vhdl/osvvm/VendorCovApiPkg_Aldec.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/osvvm copying build/lib/vunit/vhdl/osvvm/RandomProcedurePkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/osvvm copying build/lib/vunit/vhdl/osvvm/OsvvmScriptSettingsPkg_default.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/osvvm creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types copying build/lib/vunit/vhdl/data_types/run.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/tools copying build/lib/vunit/vhdl/data_types/tools/generate_dict.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/tools creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/src copying build/lib/vunit/vhdl/data_types/src/string_ptr_pkg-body-2002p.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/src copying build/lib/vunit/vhdl/data_types/src/integer_vector_ptr_pkg-body-93.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/src creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/src/api copying build/lib/vunit/vhdl/data_types/src/api/external_integer_vector_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/src/api copying build/lib/vunit/vhdl/data_types/src/api/external_string_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/src/api copying build/lib/vunit/vhdl/data_types/src/data_types_private_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/src copying build/lib/vunit/vhdl/data_types/src/string_ptr_pool_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/src copying build/lib/vunit/vhdl/data_types/src/queue_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/src copying build/lib/vunit/vhdl/data_types/src/data_types_context.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/src copying build/lib/vunit/vhdl/data_types/src/types.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/src copying build/lib/vunit/vhdl/data_types/src/queue_pool_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/src copying build/lib/vunit/vhdl/data_types/src/integer_vector_ptr_pkg-body-2002p.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/src copying build/lib/vunit/vhdl/data_types/src/codec_builder.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/src copying build/lib/vunit/vhdl/data_types/src/dict_pkg-2008p.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/src copying build/lib/vunit/vhdl/data_types/src/byte_vector_ptr_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/src copying build/lib/vunit/vhdl/data_types/src/integer_array_pkg-body.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/src copying build/lib/vunit/vhdl/data_types/src/id_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/src copying build/lib/vunit/vhdl/data_types/src/codec.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/src copying build/lib/vunit/vhdl/data_types/src/codec_builder-2008p.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/src copying build/lib/vunit/vhdl/data_types/src/integer_vector_ptr_pool_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/src copying build/lib/vunit/vhdl/data_types/src/integer_vector_ptr_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/src copying build/lib/vunit/vhdl/data_types/src/integer_array_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/src copying build/lib/vunit/vhdl/data_types/src/event_common_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/src copying build/lib/vunit/vhdl/data_types/src/queue_pkg-2008p.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/src copying build/lib/vunit/vhdl/data_types/src/dict_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/src copying build/lib/vunit/vhdl/data_types/src/event_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/src copying build/lib/vunit/vhdl/data_types/src/event_private_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/src copying build/lib/vunit/vhdl/data_types/src/dict_pkg-body.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/src copying build/lib/vunit/vhdl/data_types/src/string_ptr_pkg-body-93.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/src copying build/lib/vunit/vhdl/data_types/src/codec-2008p.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/src copying build/lib/vunit/vhdl/data_types/src/string_ptr_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/src copying build/lib/vunit/vhdl/data_types/src/queue_pkg-body.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/src creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/test copying build/lib/vunit/vhdl/data_types/test/tb_dict-2008p.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/test copying build/lib/vunit/vhdl/data_types/test/tb_event_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/test copying build/lib/vunit/vhdl/data_types/test/tb_integer_vector_ptr.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/test copying build/lib/vunit/vhdl/data_types/test/tb_integer_vector_ptr_pool.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/test copying build/lib/vunit/vhdl/data_types/test/tb_byte_vector_ptr.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/test copying build/lib/vunit/vhdl/data_types/test/tb_integer_array.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/test copying build/lib/vunit/vhdl/data_types/test/tb_dict.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/test copying build/lib/vunit/vhdl/data_types/test/tb_queue_pool.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/test copying build/lib/vunit/vhdl/data_types/test/tb_id.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/test copying build/lib/vunit/vhdl/data_types/test/tb_codec.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/test copying build/lib/vunit/vhdl/data_types/test/tb_string_ptr.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/test copying build/lib/vunit/vhdl/data_types/test/tb_codec-2008p.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/test copying build/lib/vunit/vhdl/data_types/test/tb_queue.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/test copying build/lib/vunit/vhdl/data_types/test/tb_event_private_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/test copying build/lib/vunit/vhdl/data_types/test/tb_queue-2008p.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/test copying build/lib/vunit/vhdl/data_types/test/tb_string_ptr_pool.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/data_types/test creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/logging copying build/lib/vunit/vhdl/logging/run.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/logging creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/logging/src copying build/lib/vunit/vhdl/logging/src/log_levels_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/logging/src copying build/lib/vunit/vhdl/logging/src/print_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/logging/src copying build/lib/vunit/vhdl/logging/src/log_deprecated_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/logging/src copying build/lib/vunit/vhdl/logging/src/ansi_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/logging/src copying build/lib/vunit/vhdl/logging/src/location_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/logging/src copying build/lib/vunit/vhdl/logging/src/file_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/logging/src copying build/lib/vunit/vhdl/logging/src/print_pkg-body.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/logging/src copying build/lib/vunit/vhdl/logging/src/logger_pkg-body.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/logging/src copying build/lib/vunit/vhdl/logging/src/location_pkg-body-2019p.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/logging/src copying build/lib/vunit/vhdl/logging/src/log_handler_pkg-body.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/logging/src copying build/lib/vunit/vhdl/logging/src/log_levels_pkg-body.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/logging/src copying build/lib/vunit/vhdl/logging/src/logger_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/logging/src copying build/lib/vunit/vhdl/logging/src/log_handler_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/logging/src copying build/lib/vunit/vhdl/logging/src/location_pkg-body-2008m.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/logging/src creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/logging/test copying build/lib/vunit/vhdl/logging/test/tb_location.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/logging/test copying build/lib/vunit/vhdl/logging/test/tb_log_levels.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/logging/test copying build/lib/vunit/vhdl/logging/test/tb_deprecated.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/logging/test copying build/lib/vunit/vhdl/logging/test/tb_log.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/logging/test copying build/lib/vunit/vhdl/logging/test/test_support_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/logging/test creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/array copying build/lib/vunit/vhdl/array/run.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/array creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/array/src copying build/lib/vunit/vhdl/array/src/array_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/array/src creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/array/test copying build/lib/vunit/vhdl/array/test/tb_array.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/array/test creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/path copying build/lib/vunit/vhdl/path/run.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/path creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/path/src copying build/lib/vunit/vhdl/path/src/path.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/path/src creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/path/test copying build/lib/vunit/vhdl/path/test/tb_path.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/path/test creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/random copying build/lib/vunit/vhdl/random/run.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/random creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/random/src copying build/lib/vunit/vhdl/random/src/random_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/random/src creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/random/test copying build/lib/vunit/vhdl/random/test/tb_random_pkg.vhd -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/vhdl/random/test copying build/lib/vunit/database.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit copying build/lib/vunit/version_check.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit copying build/lib/vunit/ostools.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit copying build/lib/vunit/vunit_cli.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/com copying build/lib/vunit/com/codec_vhdl_package.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/com copying build/lib/vunit/com/codec_vhdl_record_type.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/com copying build/lib/vunit/com/__init__.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/com copying build/lib/vunit/com/codec_vhdl_array_type.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/com copying build/lib/vunit/com/codec_vhdl_enumeration_type.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/com copying build/lib/vunit/com/codec_datatype_template.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/com copying build/lib/vunit/com/codec_generator.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/com copying build/lib/vunit/cached.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit copying build/lib/vunit/source_file.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/sim_if copying build/lib/vunit/sim_if/nvc.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/sim_if copying build/lib/vunit/sim_if/ghdl.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/sim_if copying build/lib/vunit/sim_if/incisive.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/sim_if copying build/lib/vunit/sim_if/common.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/sim_if copying build/lib/vunit/sim_if/__init__.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/sim_if copying build/lib/vunit/sim_if/vsim_simulator_mixin.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/sim_if copying build/lib/vunit/sim_if/modelsim.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/sim_if copying build/lib/vunit/sim_if/activehdl.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/sim_if copying build/lib/vunit/sim_if/cds_file.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/sim_if copying build/lib/vunit/sim_if/rivierapro.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/sim_if copying build/lib/vunit/sim_if/tcl_read_eval_loop.tcl -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/sim_if copying build/lib/vunit/sim_if/factory.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/sim_if copying build/lib/vunit/exceptions.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit copying build/lib/vunit/color_printer.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/test copying build/lib/vunit/test/bench.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/test copying build/lib/vunit/test/suites.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/test copying build/lib/vunit/test/__init__.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/test copying build/lib/vunit/test/list.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/test copying build/lib/vunit/test/runner.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/test copying build/lib/vunit/test/bench_list.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/test copying build/lib/vunit/test/report.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit/test copying build/lib/vunit/persistent_tcl_shell.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit copying build/lib/vunit/vhdl_standard.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit copying build/lib/vunit/builtins.py -> build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit running install_egg_info running egg_info writing vunit_hdl.egg-info/PKG-INFO writing dependency_links to vunit_hdl.egg-info/dependency_links.txt writing requirements to vunit_hdl.egg-info/requires.txt writing top-level names to vunit_hdl.egg-info/top_level.txt reading manifest file 'vunit_hdl.egg-info/SOURCES.txt' adding license file 'LICENSE.rst' writing manifest file 'vunit_hdl.egg-info/SOURCES.txt' Copying vunit_hdl.egg-info to build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit_hdl-4.7.0-py3.11.egg-info running install_scripts creating build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel/vunit_hdl-4.7.0.dist-info/WHEEL creating '/wrkdirs/usr/ports/cad/py-vunit-hdl/work-py311/vunit-4.7.0/dist/tmpyu0j8ppp/vunit_hdl-4.7.0-py3-none-any.whl' and adding 'build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel' to it adding 'tests/__init__.py' adding 'tests/common.py' adding 'tests/acceptance/__init__.py' adding 'tests/acceptance/test_artificial.py' adding 'tests/acceptance/test_dependencies.py' adding 'tests/acceptance/test_external_run_scripts.py' adding 'tests/lint/__init__.py' adding 'tests/lint/test_license.py' adding 'tests/lint/test_mypy.py' adding 'tests/lint/test_pycodestyle.py' adding 'tests/lint/test_pylint.py' adding 'tests/unit/__init__.py' adding 'tests/unit/non_utf8_printer.py' adding 'tests/unit/test_activehdl_interface.py' adding 'tests/unit/test_builtins.py' adding 'tests/unit/test_cds_file.py' adding 'tests/unit/test_check_preprocessor.py' adding 'tests/unit/test_configuration.py' adding 'tests/unit/test_csv_logs.py' adding 'tests/unit/test_database.py' adding 'tests/unit/test_dependency_graph.py' adding 'tests/unit/test_ghdl_interface.py' adding 'tests/unit/test_incisive_interface.py' adding 'tests/unit/test_location_preprocessor.py' adding 'tests/unit/test_modelsim_interface.py' adding 'tests/unit/test_ostools.py' adding 'tests/unit/test_project.py' adding 'tests/unit/test_rivierapro_interface.py' adding 'tests/unit/test_simulator_interface.py' adding 'tests/unit/test_test_bench.py' adding 'tests/unit/test_test_bench_list.py' adding 'tests/unit/test_test_report.py' adding 'tests/unit/test_test_runner.py' adding 'tests/unit/test_test_suites.py' adding 'tests/unit/test_tokenizer.py' adding 'tests/unit/test_ui.py' adding 'tests/unit/test_verilog_parser.py' adding 'tests/unit/test_verilog_preprocessor.py' adding 'tests/unit/test_verilog_tokenizer.py' adding 'tests/unit/test_vhdl_parser.py' adding 'tests/unit/test_vhdl_standard.py' adding 'vunit/__init__.py' adding 'vunit/about.py' adding 'vunit/builtins.py' adding 'vunit/cached.py' adding 'vunit/check_preprocessor.py' adding 'vunit/color_printer.py' adding 'vunit/configuration.py' adding 'vunit/csv_logs.py' adding 'vunit/database.py' adding 'vunit/dependency_graph.py' adding 'vunit/design_unit.py' adding 'vunit/exceptions.py' adding 'vunit/hashing.py' adding 'vunit/json4vhdl.py' adding 'vunit/library.py' adding 'vunit/location_preprocessor.py' adding 'vunit/ostools.py' adding 'vunit/persistent_tcl_shell.py' adding 'vunit/project.py' adding 'vunit/source_file.py' adding 'vunit/verilog.py' adding 'vunit/version_check.py' adding 'vunit/vhdl_parser.py' adding 'vunit/vhdl_standard.py' adding 'vunit/vunit_cli.py' adding 'vunit/com/__init__.py' adding 'vunit/com/codec_datatype_template.py' adding 'vunit/com/codec_generator.py' adding 'vunit/com/codec_vhdl_array_type.py' adding 'vunit/com/codec_vhdl_enumeration_type.py' adding 'vunit/com/codec_vhdl_package.py' adding 'vunit/com/codec_vhdl_record_type.py' adding 'vunit/parsing/__init__.py' adding 'vunit/parsing/encodings.py' adding 'vunit/parsing/tokenizer.py' adding 'vunit/parsing/verilog/__init__.py' adding 'vunit/parsing/verilog/parser.py' adding 'vunit/parsing/verilog/preprocess.py' adding 'vunit/parsing/verilog/tokenizer.py' adding 'vunit/parsing/verilog/tokens.py' adding 'vunit/sim_if/__init__.py' adding 'vunit/sim_if/activehdl.py' adding 'vunit/sim_if/cds_file.py' adding 'vunit/sim_if/common.py' adding 'vunit/sim_if/factory.py' adding 'vunit/sim_if/ghdl.py' adding 'vunit/sim_if/incisive.py' adding 'vunit/sim_if/modelsim.py' adding 'vunit/sim_if/nvc.py' adding 'vunit/sim_if/rivierapro.py' adding 'vunit/sim_if/tcl_read_eval_loop.tcl' adding 'vunit/sim_if/vsim_simulator_mixin.py' adding 'vunit/test/__init__.py' adding 'vunit/test/bench.py' adding 'vunit/test/bench_list.py' adding 'vunit/test/list.py' adding 'vunit/test/report.py' adding 'vunit/test/runner.py' adding 'vunit/test/suites.py' adding 'vunit/ui/__init__.py' adding 'vunit/ui/common.py' adding 'vunit/ui/library.py' adding 'vunit/ui/packagefacade.py' adding 'vunit/ui/preprocessor.py' adding 'vunit/ui/results.py' adding 'vunit/ui/source.py' adding 'vunit/ui/test.py' adding 'vunit/ui/testbench.py' adding 'vunit/verilog/vunit_pkg.sv' adding 'vunit/verilog/check/test/check_tb.sv' adding 'vunit/verilog/include/vunit_defines.svh' adding 'vunit/vhdl/compile_vunit_lib.py' adding 'vunit/vhdl/vunit_context.vhd' adding 'vunit/vhdl/vunit_run_context.vhd' adding 'vunit/vhdl/JSON-for-VHDL/.gitignore' adding 'vunit/vhdl/JSON-for-VHDL/AUTHORS.md' adding 'vunit/vhdl/JSON-for-VHDL/LICENSE.md' adding 'vunit/vhdl/JSON-for-VHDL/README.md' adding 'vunit/vhdl/JSON-for-VHDL/.github/workflows/test.yml' adding 'vunit/vhdl/JSON-for-VHDL/data/Boards0.json' adding 'vunit/vhdl/JSON-for-VHDL/data/Boards1.json' adding 'vunit/vhdl/JSON-for-VHDL/data/Boards2.json' adding 'vunit/vhdl/JSON-for-VHDL/examples/Boards0.vhdl' adding 'vunit/vhdl/JSON-for-VHDL/examples/Boards1.vhdl' adding 'vunit/vhdl/JSON-for-VHDL/examples/Boards2.vhdl' adding 'vunit/vhdl/JSON-for-VHDL/examples/Boards_VUnit.vhdl' adding 'vunit/vhdl/JSON-for-VHDL/examples/Encodings_VUnit.vhdl' adding 'vunit/vhdl/JSON-for-VHDL/src/Encodings.pkg.vhdl' adding 'vunit/vhdl/JSON-for-VHDL/src/JSON.ctx.vhdl' adding 'vunit/vhdl/JSON-for-VHDL/src/JSON.pkg.vhdl' adding 'vunit/vhdl/JSON-for-VHDL/tests/run.sh' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/JSONTestSuite.ps1' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/LICENSE.md' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/README.md' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/TopLevel.vhdl' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_object_key_lone_2nd_surrogate.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_1st_surrogate_but_2nd_missing.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_1st_valid_surrogate_2nd_invalid.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_UTF-16LE_with_BOM.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_UTF-8_invalid_sequence.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_incomplete_surrogate_and_escape_valid.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_incomplete_surrogate_pair.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_incomplete_surrogates_escape_valid.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_invalid_lonely_surrogate.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_invalid_surrogate.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_inverted_surrogates_U+1D11E.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_lone_second_surrogate.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_not_in_unicode_range.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_truncated-utf-8.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_unicode_U+10FFFE_nonchar.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_unicode_U+1FFFE_nonchar.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_unicode_U+FDD0_nonchar.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_string_unicode_U+FFFE_nonchar.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_structure_500_nested_arrays.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/i_structure_UTF-8_BOM_empty_object.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_1_true_without_comma.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_a_invalid_utf8.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_colon_instead_of_comma.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_comma_after_close.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_comma_and_number.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_double_comma.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_double_extra_comma.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_extra_close.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_extra_comma.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_incomplete.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_incomplete_invalid_value.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_inner_array_no_comma.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_invalid_utf8.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_items_separated_by_semicolon.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_just_comma.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_just_minus.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_missing_value.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_newlines_unclosed.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_number_and_comma.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_number_and_several_commas.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_spaces_vertical_tab_formfeed.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_star_inside.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_unclosed.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_unclosed_trailing_comma.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_unclosed_with_new_lines.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_array_unclosed_with_object_inside.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_incomplete_false.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_incomplete_null.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_incomplete_true.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_++.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_+1.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_+Inf.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_-01.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_-1.0..json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_-2..json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_-NaN.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_.-1.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_.2e-3.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_0.1.2.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_0.3e+.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_0.3e.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_0.e1.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_0_capital_E+.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_0_capital_E.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_0e+.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_0e.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_1.0e+.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_1.0e-.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_1.0e.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_1_000.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_1eE2.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_2.e+3.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_2.e-3.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_2.e3.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_9.e+.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_Inf.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_NaN.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_U+FF11_fullwidth_digit_one.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_expression.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_hex_1_digit.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_hex_2_digits.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_infinity.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_invalid+-.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_invalid-negative-real.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_invalid-utf-8-in-bigger-int.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_invalid-utf-8-in-exponent.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_invalid-utf-8-in-int.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_minus_infinity.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_minus_sign_with_trailing_garbage.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_minus_space_1.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_neg_int_starting_with_zero.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_neg_real_without_int_part.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_neg_with_garbage_at_end.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_real_garbage_after_e.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_real_with_invalid_utf8_after_e.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_real_without_fractional_part.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_starting_with_dot.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_then_00.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_with_alpha.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_with_alpha_char.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_number_with_leading_zero.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_bad_value.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_bracket_key.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_comma_instead_of_colon.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_double_colon.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_emoji.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_garbage_at_end.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_key_with_single_quotes.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_missing_colon.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_missing_key.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_missing_semicolon.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_missing_value.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_no-colon.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_non_string_key.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_non_string_key_but_huge_number_instead.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_pi_in_key_and_trailing_comma.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_repeated_null_null.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_several_trailing_commas.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_single_quote.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_trailing_comma.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_trailing_comment.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_trailing_comment_open.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_trailing_comment_slash_open.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_trailing_comment_slash_open_incomplete.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_two_commas_in_a_row.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_unquoted_key.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_unterminated-value.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_with_single_string.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_object_with_trailing_garbage.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_single_space.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_1_surrogate_then_escape u.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_1_surrogate_then_escape u1.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_1_surrogate_then_escape u1x.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_1_surrogate_then_escape.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_UTF8_surrogate_U+D800.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_accentuated_char_no_quotes.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_backslash_00.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_escape_x.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_escaped_backslash_bad.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_escaped_ctrl_char_tab.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_escaped_emoji.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_incomplete_escape.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_incomplete_escaped_character.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_incomplete_surrogate.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_incomplete_surrogate_escape_invalid.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_invalid-utf-8-in-escape.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_invalid_backslash_esc.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_invalid_unicode_escape.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_invalid_utf-8.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_invalid_utf8_after_escape.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_iso_latin_1.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_leading_uescaped_thinspace.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_lone_utf8_continuation_byte.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_no_quotes_with_bad_escape.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_overlong_sequence_2_bytes.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_overlong_sequence_6_bytes.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_overlong_sequence_6_bytes_null.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_single_doublequote.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_single_quote.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_single_string_no_double_quotes.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_start_escape_unclosed.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_unescaped_crtl_char.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_unescaped_newline.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_unescaped_tab.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_unicode_CapitalU.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_string_with_trailing_garbage.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_100000_opening_arrays.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_U+2060_word_joined.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_UTF8_BOM_no_data.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure__._.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure__null_.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_array_trailing_garbage.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_array_with_extra_array_close.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_array_with_unclosed_string.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_ascii-unicode-identifier.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_capitalized_True.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_close_unopened_array.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_comma_instead_of_closing_brace.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_double_array.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_end_array.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_incomplete_UTF8_BOM.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_lone-invalid-utf-8.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_lone-open-bracket.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_no_data.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_null-byte-outside-string.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_number_with_trailing_garbage.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_object_followed_by_closing_object.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_object_unclosed_no_value.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_object_with_comment.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_object_with_trailing_garbage.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_open_array_apostrophe.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_open_array_comma.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_open_array_object.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_open_array_open_object.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_open_array_open_string.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_open_array_string.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_open_object.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_open_object_close_array.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_open_object_comma.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_open_object_open_array.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_open_object_open_string.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_open_object_string_with_apostrophes.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_open_open.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_single_point.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_single_star.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_trailing_#.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_uescaped_LF_before_string.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_unclosed_array.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_unclosed_array_partial_null.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_unclosed_array_unfinished_false.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_unclosed_array_unfinished_true.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_unclosed_object.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_unicode-identifier.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_whitespace_U+2060_word_joiner.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/n_structure_whitespace_formfeed.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_array_arraysWithSpaces.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_array_empty-string.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_array_empty.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_array_ending_with_newline.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_array_false.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_array_heterogeneous.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_array_null.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_array_with_1_and_newline.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_array_with_leading_space.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_array_with_several_null.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_array_with_trailing_space.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_0e+1.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_0e1.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_after_space.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_double_close_to_zero.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_double_huge_neg_exp.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_huge_exp.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_int_with_exp.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_minus_zero.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_neg_int_huge_exp.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_negative_int.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_negative_one.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_negative_zero.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_pos_double_huge_exp.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_real_capital_e.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_real_capital_e_neg_exp.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_real_capital_e_pos_exp.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_real_exponent.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_real_fraction_exponent.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_real_neg_exp.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_real_neg_overflow.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_real_pos_exponent.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_real_pos_overflow.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_real_underflow.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_simple_int.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_simple_real.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_too_big_neg_int.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_too_big_pos_int.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_number_very_big_negative_int.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_object.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_object_basic.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_object_duplicated_key.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_object_duplicated_key_and_value.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_object_empty.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_object_empty_key.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_object_escaped_null_in_key.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_object_extreme_numbers.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_object_long_strings.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_object_simple.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_object_string_unicode.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_object_with_newlines.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_1_2_3_bytes_UTF-8_sequences.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_accepted_surrogate_pair.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_accepted_surrogate_pairs.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_allowed_escapes.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_backslash_and_u_escaped_zero.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_backslash_doublequotes.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_comments.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_double_escape_a.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_double_escape_n.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_escaped_control_character.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_escaped_noncharacter.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_in_array.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_in_array_with_leading_space.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_last_surrogates_1_and_2.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_newline_uescaped.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_nonCharacterInUTF-8_U+10FFFF.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_nonCharacterInUTF-8_U+1FFFF.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_nonCharacterInUTF-8_U+FFFF.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_null_escape.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_one-byte-utf-8.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_pi.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_simple_ascii.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_space.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_surrogates_U+1D11E_MUSICAL_SYMBOL_G_CLEF.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_three-byte-utf-8.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_two-byte-utf-8.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_u+2028_line_sep.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_u+2029_par_sep.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_uEscape.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_unescaped_char_delete.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_unicode.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_unicodeEscapedBackslash.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_unicode_2.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_unicode_U+200B_ZERO_WIDTH_SPACE.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_unicode_U+2064_invisible_plus.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_unicode_escaped_double_quote.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_utf16BE_no_BOM.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_utf16LE_no_BOM.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_utf8.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_string_with_del_character.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_structure_lonely_false.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_structure_lonely_int.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_structure_lonely_negative_real.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_structure_lonely_null.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_structure_lonely_string.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_structure_lonely_true.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_structure_string_empty.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_structure_trailing_newline.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_structure_true_in_array.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_parsing/y_structure_whitespace_array.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/number_1.0.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/number_1.000000000000000005.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/number_1000000000000000.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/number_10000000000000000999.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/number_1e-999.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/number_1e6.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/object_key_nfc_nfd.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/object_key_nfd_nfc.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/object_same_key_different_values.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/object_same_key_same_value.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/object_same_key_unclear_values.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/string_1_escaped_invalid_codepoint.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/string_1_invalid_codepoint.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/string_2_escaped_invalid_codepoints.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/string_2_invalid_codepoints.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/string_3_escaped_invalid_codepoints.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/string_3_invalid_codepoints.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/JSONTestSuite/test_transform/string_with_escaped_NULL.json' adding 'vunit/vhdl/JSON-for-VHDL/tests/Lattice/Lattice.ldf' adding 'vunit/vhdl/JSON-for-VHDL/tests/Lattice/Lattice.lpf' adding 'vunit/vhdl/JSON-for-VHDL/tests/Lattice/Lattice1.sty' adding 'vunit/vhdl/JSON-for-VHDL/tests/Lattice/impl_1/Lattice_impl_1.lpf' adding 'vunit/vhdl/JSON-for-VHDL/tests/Lattice/impl_2/Lattice_impl_2.lpf' adding 'vunit/vhdl/JSON-for-VHDL/tests/QuestaSim/Boards2.cmd' adding 'vunit/vhdl/JSON-for-VHDL/tests/QuestaSim/Boards2.log' adding 'vunit/vhdl/JSON-for-VHDL/tests/VUnit/run.py' adding 'vunit/vhdl/JSON-for-VHDL/tests/Xilinx ISE/JSON.xise' adding 'vunit/vhdl/JSON-for-VHDL/tests/Xilinx ISE/iseconfig/filter.filter' adding 'vunit/vhdl/JSON-for-VHDL/tests/Xilinx Vivado/Xilinx Vivado.xpr' adding 'vunit/vhdl/JSON-for-VHDL/tests/ghdl/Boards2.cmd' adding 'vunit/vhdl/JSON-for-VHDL/tests/ghdl/Boards2.log' adding 'vunit/vhdl/JSON-for-VHDL/tests/ghdl/Boards2.sh' adding 'vunit/vhdl/array/run.py' adding 'vunit/vhdl/array/src/array_pkg.vhd' adding 'vunit/vhdl/array/test/tb_array.vhd' adding 'vunit/vhdl/check/run.py' adding 'vunit/vhdl/check/src/check.vhd' adding 'vunit/vhdl/check/src/check_api.vhd' adding 'vunit/vhdl/check/src/check_deprecated_pkg.vhd' adding 'vunit/vhdl/check/src/checker_pkg-body.vhd' adding 'vunit/vhdl/check/src/checker_pkg.vhd' adding 'vunit/vhdl/check/test/tb_check.vhd' adding 'vunit/vhdl/check/test/tb_check_equal_real.vhd' adding 'vunit/vhdl/check/test/tb_check_failed.vhd' adding 'vunit/vhdl/check/test/tb_check_false.vhd' adding 'vunit/vhdl/check/test/tb_check_implication.vhd' adding 'vunit/vhdl/check/test/tb_check_next.vhd' adding 'vunit/vhdl/check/test/tb_check_not_unknown.vhd' adding 'vunit/vhdl/check/test/tb_check_one_hot.vhd' adding 'vunit/vhdl/check/test/tb_check_passed.vhd' adding 'vunit/vhdl/check/test/tb_check_relation.vhd' adding 'vunit/vhdl/check/test/tb_check_relation_2008p.vhd' adding 'vunit/vhdl/check/test/tb_check_sequence.vhd' adding 'vunit/vhdl/check/test/tb_check_stable.vhd' adding 'vunit/vhdl/check/test/tb_check_zero_one_hot.vhd' adding 'vunit/vhdl/check/test/tb_checker.vhd' adding 'vunit/vhdl/check/test/tb_deprecated.vhd' adding 'vunit/vhdl/check/test/tb_result.vhd' adding 'vunit/vhdl/check/test/test_support.vhd' adding 'vunit/vhdl/check/tools/generate_check_equal.py' adding 'vunit/vhdl/check/tools/generate_check_match.py' adding 'vunit/vhdl/com/run.py' adding 'vunit/vhdl/com/src/com.vhd' adding 'vunit/vhdl/com/src/com_api.vhd' adding 'vunit/vhdl/com/src/com_common.vhd' adding 'vunit/vhdl/com/src/com_context.vhd' adding 'vunit/vhdl/com/src/com_debug_codec_builder.vhd' adding 'vunit/vhdl/com/src/com_deprecated.vhd' adding 'vunit/vhdl/com/src/com_messenger.vhd' adding 'vunit/vhdl/com/src/com_string.vhd' adding 'vunit/vhdl/com/src/com_support.vhd' adding 'vunit/vhdl/com/src/com_types.vhd' adding 'vunit/vhdl/com/test/constants.vhd' adding 'vunit/vhdl/com/test/custom_types.vhd' adding 'vunit/vhdl/com/test/more_constants.vhd' adding 'vunit/vhdl/com/test/tb_com.vhd' adding 'vunit/vhdl/com/test/tb_com_codec.vhd' adding 'vunit/vhdl/com/test/tb_com_deprecated.vhd' adding 'vunit/vhdl/com/test/tb_com_msg_building.vhd' adding 'vunit/vhdl/core/src/core_pkg.vhd' adding 'vunit/vhdl/core/src/stop_body_2008p.vhd' adding 'vunit/vhdl/core/src/stop_body_93-2002.vhd' adding 'vunit/vhdl/core/src/stop_pkg.vhd' adding 'vunit/vhdl/data_types/run.py' adding 'vunit/vhdl/data_types/src/byte_vector_ptr_pkg.vhd' adding 'vunit/vhdl/data_types/src/codec-2008p.vhd' adding 'vunit/vhdl/data_types/src/codec.vhd' adding 'vunit/vhdl/data_types/src/codec_builder-2008p.vhd' adding 'vunit/vhdl/data_types/src/codec_builder.vhd' adding 'vunit/vhdl/data_types/src/data_types_context.vhd' adding 'vunit/vhdl/data_types/src/data_types_private_pkg.vhd' adding 'vunit/vhdl/data_types/src/dict_pkg-2008p.vhd' adding 'vunit/vhdl/data_types/src/dict_pkg-body.vhd' adding 'vunit/vhdl/data_types/src/dict_pkg.vhd' adding 'vunit/vhdl/data_types/src/event_common_pkg.vhd' adding 'vunit/vhdl/data_types/src/event_pkg.vhd' adding 'vunit/vhdl/data_types/src/event_private_pkg.vhd' adding 'vunit/vhdl/data_types/src/id_pkg.vhd' adding 'vunit/vhdl/data_types/src/integer_array_pkg-body.vhd' adding 'vunit/vhdl/data_types/src/integer_array_pkg.vhd' adding 'vunit/vhdl/data_types/src/integer_vector_ptr_pkg-body-2002p.vhd' adding 'vunit/vhdl/data_types/src/integer_vector_ptr_pkg-body-93.vhd' adding 'vunit/vhdl/data_types/src/integer_vector_ptr_pkg.vhd' adding 'vunit/vhdl/data_types/src/integer_vector_ptr_pool_pkg.vhd' adding 'vunit/vhdl/data_types/src/queue_pkg-2008p.vhd' adding 'vunit/vhdl/data_types/src/queue_pkg-body.vhd' adding 'vunit/vhdl/data_types/src/queue_pkg.vhd' adding 'vunit/vhdl/data_types/src/queue_pool_pkg.vhd' adding 'vunit/vhdl/data_types/src/string_ptr_pkg-body-2002p.vhd' adding 'vunit/vhdl/data_types/src/string_ptr_pkg-body-93.vhd' adding 'vunit/vhdl/data_types/src/string_ptr_pkg.vhd' adding 'vunit/vhdl/data_types/src/string_ptr_pool_pkg.vhd' adding 'vunit/vhdl/data_types/src/types.vhd' adding 'vunit/vhdl/data_types/src/api/external_integer_vector_pkg.vhd' adding 'vunit/vhdl/data_types/src/api/external_string_pkg.vhd' adding 'vunit/vhdl/data_types/test/tb_byte_vector_ptr.vhd' adding 'vunit/vhdl/data_types/test/tb_codec-2008p.vhd' adding 'vunit/vhdl/data_types/test/tb_codec.vhd' adding 'vunit/vhdl/data_types/test/tb_dict-2008p.vhd' adding 'vunit/vhdl/data_types/test/tb_dict.vhd' adding 'vunit/vhdl/data_types/test/tb_event_pkg.vhd' adding 'vunit/vhdl/data_types/test/tb_event_private_pkg.vhd' adding 'vunit/vhdl/data_types/test/tb_id.vhd' adding 'vunit/vhdl/data_types/test/tb_integer_array.vhd' adding 'vunit/vhdl/data_types/test/tb_integer_vector_ptr.vhd' adding 'vunit/vhdl/data_types/test/tb_integer_vector_ptr_pool.vhd' adding 'vunit/vhdl/data_types/test/tb_queue-2008p.vhd' adding 'vunit/vhdl/data_types/test/tb_queue.vhd' adding 'vunit/vhdl/data_types/test/tb_queue_pool.vhd' adding 'vunit/vhdl/data_types/test/tb_string_ptr.vhd' adding 'vunit/vhdl/data_types/test/tb_string_ptr_pool.vhd' adding 'vunit/vhdl/data_types/tools/generate_dict.py' adding 'vunit/vhdl/dictionary/run.py' adding 'vunit/vhdl/dictionary/src/dictionary.vhd' adding 'vunit/vhdl/dictionary/test/tb_dictionary.vhd' adding 'vunit/vhdl/logging/run.py' adding 'vunit/vhdl/logging/src/ansi_pkg.vhd' adding 'vunit/vhdl/logging/src/file_pkg.vhd' adding 'vunit/vhdl/logging/src/location_pkg-body-2008m.vhd' adding 'vunit/vhdl/logging/src/location_pkg-body-2019p.vhd' adding 'vunit/vhdl/logging/src/location_pkg.vhd' adding 'vunit/vhdl/logging/src/log_deprecated_pkg.vhd' adding 'vunit/vhdl/logging/src/log_handler_pkg-body.vhd' adding 'vunit/vhdl/logging/src/log_handler_pkg.vhd' adding 'vunit/vhdl/logging/src/log_levels_pkg-body.vhd' adding 'vunit/vhdl/logging/src/log_levels_pkg.vhd' adding 'vunit/vhdl/logging/src/logger_pkg-body.vhd' adding 'vunit/vhdl/logging/src/logger_pkg.vhd' adding 'vunit/vhdl/logging/src/print_pkg-body.vhd' adding 'vunit/vhdl/logging/src/print_pkg.vhd' adding 'vunit/vhdl/logging/test/tb_deprecated.vhd' adding 'vunit/vhdl/logging/test/tb_location.vhd' adding 'vunit/vhdl/logging/test/tb_log.vhd' adding 'vunit/vhdl/logging/test/tb_log_levels.vhd' adding 'vunit/vhdl/logging/test/test_support_pkg.vhd' adding 'vunit/vhdl/osvvm/.gitignore' adding 'vunit/vhdl/osvvm/AUTHORS.md' adding 'vunit/vhdl/osvvm/AlertLogPkg.vhd' adding 'vunit/vhdl/osvvm/CHANGELOG.md' adding 'vunit/vhdl/osvvm/CONTRIBUTORS.md' adding 'vunit/vhdl/osvvm/CoveragePkg.vhd' adding 'vunit/vhdl/osvvm/LICENSE.md' adding 'vunit/vhdl/osvvm/MemoryGenericPkg.vhd' adding 'vunit/vhdl/osvvm/MemoryPkg.vhd' adding 'vunit/vhdl/osvvm/MemoryPkg_c.vhd' adding 'vunit/vhdl/osvvm/MemoryPkg_orig_c.vhd' adding 'vunit/vhdl/osvvm/MemorySupportPkg.vhd' adding 'vunit/vhdl/osvvm/MessageListPkg.vhd' adding 'vunit/vhdl/osvvm/MessagePkg.vhd' adding 'vunit/vhdl/osvvm/NamePkg.vhd' adding 'vunit/vhdl/osvvm/NameStorePkg.vhd' adding 'vunit/vhdl/osvvm/OsvvmContext.vhd' adding 'vunit/vhdl/osvvm/OsvvmGlobalPkg.vhd' adding 'vunit/vhdl/osvvm/OsvvmScriptSettingsPkg.vhd' adding 'vunit/vhdl/osvvm/OsvvmScriptSettingsPkg_default.vhd' adding 'vunit/vhdl/osvvm/OsvvmTypesPkg.vhd' adding 'vunit/vhdl/osvvm/README.md' adding 'vunit/vhdl/osvvm/RandomBasePkg.vhd' adding 'vunit/vhdl/osvvm/RandomPkg.vhd' adding 'vunit/vhdl/osvvm/RandomProcedurePkg.vhd' adding 'vunit/vhdl/osvvm/ReportPkg.vhd' adding 'vunit/vhdl/osvvm/ResizePkg.vhd' adding 'vunit/vhdl/osvvm/ResolutionPkg.vhd' adding 'vunit/vhdl/osvvm/ScoreboardGenericPkg.vhd' adding 'vunit/vhdl/osvvm/ScoreboardPkg_int.vhd' adding 'vunit/vhdl/osvvm/ScoreboardPkg_int_c.vhd' adding 'vunit/vhdl/osvvm/ScoreboardPkg_slv.vhd' adding 'vunit/vhdl/osvvm/ScoreboardPkg_slv_c.vhd' adding 'vunit/vhdl/osvvm/SortListPkg_int.vhd' adding 'vunit/vhdl/osvvm/TbUtilPkg.vhd' adding 'vunit/vhdl/osvvm/TextUtilPkg.vhd' adding 'vunit/vhdl/osvvm/TranscriptPkg.vhd' adding 'vunit/vhdl/osvvm/VendorCovApiPkg.vhd' adding 'vunit/vhdl/osvvm/VendorCovApiPkg_Aldec.vhd' adding 'vunit/vhdl/osvvm/osvvm.pro' adding 'vunit/vhdl/osvvm/osvvm_old.tcl' adding 'vunit/vhdl/osvvm/demo/AlertLog_Demo_Global.vhd' adding 'vunit/vhdl/osvvm/demo/AlertLog_Demo_Hierarchy.vhd' adding 'vunit/vhdl/osvvm/demo/Demo_Rand.vhd' adding 'vunit/vhdl/path/run.py' adding 'vunit/vhdl/path/src/path.vhd' adding 'vunit/vhdl/path/test/tb_path.vhd' adding 'vunit/vhdl/random/run.py' adding 'vunit/vhdl/random/src/random_pkg.vhd' adding 'vunit/vhdl/random/test/tb_random_pkg.vhd' adding 'vunit/vhdl/run/run.py' adding 'vunit/vhdl/run/src/run.vhd' adding 'vunit/vhdl/run/src/run_api.vhd' adding 'vunit/vhdl/run/src/run_deprecated_pkg.vhd' adding 'vunit/vhdl/run/src/run_types.vhd' adding 'vunit/vhdl/run/src/runner_pkg.vhd' adding 'vunit/vhdl/run/test/run_tests.vhd' adding 'vunit/vhdl/run/test/tb_run.vhd' adding 'vunit/vhdl/run/test/tb_watchdog.vhd' adding 'vunit/vhdl/string_ops/run.py' adding 'vunit/vhdl/string_ops/src/string_ops.vhd' adding 'vunit/vhdl/string_ops/test/tb_string_ops.vhd' adding 'vunit/vhdl/verification_components/run.py' adding 'vunit/vhdl/verification_components/src/avalon_master.vhd' adding 'vunit/vhdl/verification_components/src/avalon_pkg.vhd' adding 'vunit/vhdl/verification_components/src/avalon_sink.vhd' adding 'vunit/vhdl/verification_components/src/avalon_slave.vhd' adding 'vunit/vhdl/verification_components/src/avalon_source.vhd' adding 'vunit/vhdl/verification_components/src/avalon_stream_pkg.vhd' adding 'vunit/vhdl/verification_components/src/axi_lite_master.vhd' adding 'vunit/vhdl/verification_components/src/axi_lite_master_pkg.vhd' adding 'vunit/vhdl/verification_components/src/axi_pkg.vhd' adding 'vunit/vhdl/verification_components/src/axi_read_slave.vhd' adding 'vunit/vhdl/verification_components/src/axi_slave_pkg.vhd' adding 'vunit/vhdl/verification_components/src/axi_slave_private_pkg.vhd' adding 'vunit/vhdl/verification_components/src/axi_statistics_pkg.vhd' adding 'vunit/vhdl/verification_components/src/axi_stream_master.vhd' adding 'vunit/vhdl/verification_components/src/axi_stream_monitor.vhd' adding 'vunit/vhdl/verification_components/src/axi_stream_pkg.vhd' adding 'vunit/vhdl/verification_components/src/axi_stream_private_pkg.vhd' adding 'vunit/vhdl/verification_components/src/axi_stream_protocol_checker.vhd' adding 'vunit/vhdl/verification_components/src/axi_stream_slave.vhd' adding 'vunit/vhdl/verification_components/src/axi_write_slave.vhd' adding 'vunit/vhdl/verification_components/src/bus2memory.vhd' adding 'vunit/vhdl/verification_components/src/bus_master_pkg-body.vhd' adding 'vunit/vhdl/verification_components/src/bus_master_pkg.vhd' adding 'vunit/vhdl/verification_components/src/memory_pkg-body.vhd' adding 'vunit/vhdl/verification_components/src/memory_pkg.vhd' adding 'vunit/vhdl/verification_components/src/memory_utils_pkg.vhd' adding 'vunit/vhdl/verification_components/src/ram_master.vhd' adding 'vunit/vhdl/verification_components/src/signal_checker_pkg.vhd' adding 'vunit/vhdl/verification_components/src/std_logic_checker.vhd' adding 'vunit/vhdl/verification_components/src/stream_master_pkg-body.vhd' adding 'vunit/vhdl/verification_components/src/stream_master_pkg.vhd' adding 'vunit/vhdl/verification_components/src/stream_slave_pkg-body.vhd' adding 'vunit/vhdl/verification_components/src/stream_slave_pkg.vhd' adding 'vunit/vhdl/verification_components/src/sync_pkg-body.vhd' adding 'vunit/vhdl/verification_components/src/sync_pkg.vhd' adding 'vunit/vhdl/verification_components/src/uart_master.vhd' adding 'vunit/vhdl/verification_components/src/uart_pkg.vhd' adding 'vunit/vhdl/verification_components/src/uart_slave.vhd' adding 'vunit/vhdl/verification_components/src/vc_context.vhd' adding 'vunit/vhdl/verification_components/src/wishbone_master.vhd' adding 'vunit/vhdl/verification_components/src/wishbone_pkg.vhd' adding 'vunit/vhdl/verification_components/src/wishbone_slave.vhd' adding 'vunit/vhdl/verification_components/test/tb_avalon.vhd' adding 'vunit/vhdl/verification_components/test/tb_avalon_master.gtkw' adding 'vunit/vhdl/verification_components/test/tb_avalon_master.vhd' adding 'vunit/vhdl/verification_components/test/tb_avalon_slave.gtkw' adding 'vunit/vhdl/verification_components/test/tb_avalon_slave.vhd' adding 'vunit/vhdl/verification_components/test/tb_avalon_stream.gtkw' adding 'vunit/vhdl/verification_components/test/tb_avalon_stream.vhd' adding 'vunit/vhdl/verification_components/test/tb_avalon_stream_pkg.vhd' adding 'vunit/vhdl/verification_components/test/tb_axi_lite_master.vhd' adding 'vunit/vhdl/verification_components/test/tb_axi_read_slave.vhd' adding 'vunit/vhdl/verification_components/test/tb_axi_slave_private_pkg.vhd' adding 'vunit/vhdl/verification_components/test/tb_axi_statistics_pkg.vhd' adding 'vunit/vhdl/verification_components/test/tb_axi_stream.vhd' adding 'vunit/vhdl/verification_components/test/tb_axi_stream_protocol_checker.vhd' adding 'vunit/vhdl/verification_components/test/tb_axi_write_slave.vhd' adding 'vunit/vhdl/verification_components/test/tb_bus_master_pkg.vhd' adding 'vunit/vhdl/verification_components/test/tb_memory.vhd' adding 'vunit/vhdl/verification_components/test/tb_memory_utils_pkg.vhd' adding 'vunit/vhdl/verification_components/test/tb_ram_master.vhd' adding 'vunit/vhdl/verification_components/test/tb_std_logic_checker.vhd' adding 'vunit/vhdl/verification_components/test/tb_sync_pkg.vhd' adding 'vunit/vhdl/verification_components/test/tb_uart.vhd' adding 'vunit/vhdl/verification_components/test/tb_wishbone_master.gtkw' adding 'vunit/vhdl/verification_components/test/tb_wishbone_master.vhd' adding 'vunit/vhdl/verification_components/test/tb_wishbone_slave.gtkw' adding 'vunit/vhdl/verification_components/test/tb_wishbone_slave.vhd' adding 'vunit/vivado/__init__.py' adding 'vunit/vivado/vivado.py' adding 'vunit/vivado/tcl/extract_compile_order.tcl' adding 'vunit_hdl-4.7.0.dist-info/LICENSE.rst' adding 'vunit_hdl-4.7.0.dist-info/METADATA' adding 'vunit_hdl-4.7.0.dist-info/WHEEL' adding 'vunit_hdl-4.7.0.dist-info/top_level.txt' adding 'vunit_hdl-4.7.0.dist-info/RECORD' removing build/bdist.freebsd-13.4-RELEASE-p4-amd64/wheel Successfully built vunit_hdl-4.7.0-py3-none-any.whl =========================================================================== =================================================== ===== env: USE_PACKAGE_DEPENDS_ONLY=1 USER=root UID=0 GID=0 ===> py311-vunit-hdl-4.7.0 depends on executable: ghdl - not found ===> Installing existing package /packages/All/ghdl-5.0.1_1.pkg [13amd64-weekly-desktop-job-02] Installing ghdl-5.0.1_1... [13amd64-weekly-desktop-job-02] `-- Installing gnat12-12.3.0... [13amd64-weekly-desktop-job-02] | `-- Installing binutils-2.44,1... [13amd64-weekly-desktop-job-02] | | `-- Installing zstd-1.5.7... [13amd64-weekly-desktop-job-02] | | `-- Installing liblz4-1.10.0,1... [13amd64-weekly-desktop-job-02] | | `-- Extracting liblz4-1.10.0,1: .......... done [13amd64-weekly-desktop-job-02] | | `-- Extracting zstd-1.5.7: .......... done [13amd64-weekly-desktop-job-02] | `-- Extracting binutils-2.44,1: .......... done [13amd64-weekly-desktop-job-02] | `-- Installing gcc12-12.4.0_2... [13amd64-weekly-desktop-job-02] | | `-- Installing gmp-6.3.0... [13amd64-weekly-desktop-job-02] | | `-- Extracting gmp-6.3.0: .......... done [13amd64-weekly-desktop-job-02] | | `-- Installing mpc-1.3.1_1... [13amd64-weekly-desktop-job-02] | | `-- Installing mpfr-4.2.1,1... [13amd64-weekly-desktop-job-02] | | `-- Extracting mpfr-4.2.1,1: .......... done [13amd64-weekly-desktop-job-02] | | `-- Extracting mpc-1.3.1_1: ...... done [13amd64-weekly-desktop-job-02] | `-- Extracting gcc12-12.4.0_2: .......... done [13amd64-weekly-desktop-job-02] | `-- Installing isl-0.27... [13amd64-weekly-desktop-job-02] | `-- Extracting isl-0.27: .......... done [13amd64-weekly-desktop-job-02] `-- Extracting gnat12-12.3.0: .......... done [13amd64-weekly-desktop-job-02] `-- Installing llvm15-15.0.7_10... [13amd64-weekly-desktop-job-02] | `-- Installing libedit-3.1.20240808,1... [13amd64-weekly-desktop-job-02] | `-- Extracting libedit-3.1.20240808,1: .......... done [13amd64-weekly-desktop-job-02] | `-- Installing lua53-5.3.6_1... [13amd64-weekly-desktop-job-02] | `-- Extracting lua53-5.3.6_1: .......... done [13amd64-weekly-desktop-job-02] | `-- Installing perl5-5.36.3_2... [13amd64-weekly-desktop-job-02] | `-- Extracting perl5-5.36.3_2: .......... done [13amd64-weekly-desktop-job-02] `-- Extracting llvm15-15.0.7_10: .......... done [13amd64-weekly-desktop-job-02] Extracting ghdl-5.0.1_1: .......... done ===== Message from gcc12-12.4.0_2: -- To ensure binaries built with this toolchain find appropriate versions of the necessary run-time libraries, you may want to link using -Wl,-rpath=/usr/local/lib/gcc12 For ports leveraging USE_GCC, USES=compiler, or USES=fortran this happens transparently. ===== Message from gnat12-12.3.0: -- The file /usr/local/share/gnat12/assets/gnat-x86_64-freebsd.13-12.3.0.tar.xz has been created You may want to copy it under /portdistfiles to use the option PREVASSET next time. (and adjust distinfo) ===> py311-vunit-hdl-4.7.0 depends on executable: ghdl - found ===> Returning to build of py311-vunit-hdl-4.7.0 ===> py311-vunit-hdl-4.7.0 depends on file: /usr/local/bin/python3.11 - found =========================================================================== =================================================== ===== env: NO_DEPENDS=yes USER=nobody UID=65534 GID=65534 ===> Staging for py311-vunit-hdl-4.7.0 ===> Generating temporary packing list ====> Compressing man pages (compress-man) =========================================================================== =================================================== ===== env: 'PKG_NOTES=build_timestamp ports_top_git_hash ports_top_checkout_unclean port_git_hash port_checkout_unclean built_by' 'PKG_NOTE_build_timestamp=2025-05-04T11:43:20+0000' 'PKG_NOTE_ports_top_git_hash=57a50b106b87' 'PKG_NOTE_ports_top_checkout_unclean=yes' 'PKG_NOTE_port_git_hash=5d4139f8bdb6' 'PKG_NOTE_port_checkout_unclean=no' 'PKG_NOTE_built_by=poudriere-git-3.4.2' NO_DEPENDS=yes USER=nobody UID=65534 GID=65534 ===> Building packages for py311-vunit-hdl-4.7.0 ===> Building py311-vunit-hdl-4.7.0 =========================================================================== =>> Cleaning up wrkdir ===> Cleaning for py311-vunit-hdl-4.7.0 build of cad/py-vunit-hdl@py311 | py311-vunit-hdl-4.7.0 ended at Sun May 4 07:44:07 EDT 2025 build time: 00:00:49